Physical Design Engineering Manager

6 days ago


Bengaluru, Karnataka, India AMD Full time

We are seeking a skilled SoC Subsystem Physical Design Lead to join our team at AMD. As a key member of our engineering team, you will be responsible for leading the physical design and implementation of critical subsystems within advanced SOC designs.

In this role, you will drive the physical design flow from floor planning through final sign-off, working closely with cross-functional teams to meet stringent power, performance, and area (PPA) targets.

You will own the physical design implementation of SoC subsystems, including floor planning, placement, clock tree synthesis (CTS), routing, and optimization to meet PPA goals.

This is an exciting opportunity to work on cutting-edge technology and contribute to the development of innovative products that transform lives.

Key Qualifications:
  • Deep technical expertise in physical design methodologies and tools.
  • Ability to lead and mentor a team of physical design engineers.
  • Excellent problem-solving, leadership, and communication skills.
  • In-depth knowledge of floor planning, power planning, PNR, and signoff checks.
  • Strong experience in static timing analysis (STA), timing closure, and signal integrity.


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Title: Physicla design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will development and implementation of cutting-edge physical design methodologies and flows for complex ASIC designs, and did block level...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Title: Physical design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in oursemiconductor design team. As a PD Implementation Engineer , you will development andimplementation of cutting-edge physical design methodologies and flows for complex ASIC designs,and did block level...


  • Bengaluru, Karnataka, India EXIMIETAS DESIGN PRIVATE LIMITED Full time

    Job OverviewWe are seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will be responsible for the development and implementation of cutting-edge physical design methodologies and flows for complex ASIC designs, and block-level implementation.You will collaborate closely...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Hi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...


  • Bengaluru, Karnataka, India Cadence System Design and Analysis Full time

    We are looking for an innovative, dedicated engineer who has a broad and general fascination with the ASIC Netlist-GDS physical design and who can be an independent problem solver without depending too much on flow running.Responsibilities:Work on challenging DDR PHY IP & Testchip Physical Design from Netlist-to-GDS in tech nodes below 7nm.Take ownership of...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Hi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...


  • Bengaluru, Karnataka, India Angel and Genie Full time

    Location : Bangalore / BhubaneswarJob Type : Full-TimePayroll : Direct PayrollExperience : 8 to 12 yearsWork Mode : Work From OfficeNotice Period : 0-30 days preferredWe are seeking a highly experienced and motivated Physical Design Lead/Manager to spearhead our physical design team. The ideal candidate will possess a deep understanding of the entire...


  • Bengaluru, Karnataka, India Tecquire Solutions Pvt Ltd Full time

    You are a senior physical design engineer with 5-8 years of experience in designing and implementing complex digital integrated circuits. Key Responsibilities Design and implement physical IP blocks for high-performance and low-power applications Develop and maintain industry-standard tools for physical implementation, including Genus, Innovus, FC, PT,...


  • Bengaluru, Karnataka, India Tecquire Solutions Pvt Ltd Full time

    Job Description : We are looking for a dynamic Physical Design Engineer with 2-5 years of experience in physical design, Static Timing Analysis (STA), and a strong inclination towards software and automation. The ideal candidate will combine deep technical expertise in physical implementation with proficiency in software-driven methodologies to enhance...


  • Bengaluru, Karnataka, India Mulya Technologies Full time

    Mulya Technologies Job TitleWe are seeking an experienced Senior Physical Design Manager to join our team at Mulya Technologies. This is a critical role that requires strong technical skills, leadership abilities, and excellent communication skills.The successful candidate will be responsible for leading and managing a team of physical design engineers to...


  • Bengaluru, Karnataka, India Mulya Technologies Full time

    About the RoleWe are seeking an experienced Physical Design Management Expert to join our team at Mulya Technologies. In this role, you will be responsible for leading and managing a team of physical design engineers to deliver complex designs on time and within budget.Key Responsibilities:Lead and manage a team of physical design engineers to ensure timely...


  • Bengaluru, Karnataka, India Univision Technology Consulting Pvt. Ltd. Full time

    About Univision:UNIVISION specializes in enabling technology through R&D, innovation, and disruptive solutions across VLSI, System Engineering, IoT, Automation, Cloud Computing, and Artificial Intelligence. The company delivers customer-centric and customized solutions across various industries, including Semiconductors, Automotive, Cloud Computing, Consumer...


  • Bengaluru, Karnataka, India Tecquire Solutions Pvt Ltd Full time

    Job Description :We are looking for a dynamic Physical Design Engineer with 2-5 years of experience in physical design, Static Timing Analysis (STA), and a strong inclination towards software and automation. The ideal candidate will combine deep technical expertise in physical implementation with proficiency in software-driven methodologies to enhance design...


  • Bengaluru, Karnataka, India Tecquire Solutions Pvt Ltd Full time

    Job Description :We are looking for a dynamic Physical Design Engineer with 2-5 years of experience in physical design, Static Timing Analysis (STA), and a strong inclination towards software and automation. The ideal candidate will combine deep technical expertise in physical implementation with proficiency in software-driven methodologies to enhance design...


  • Bengaluru, Karnataka, India Mulya Technologies Full time

    Job Description We are seeking a highly motivated and skilled Senior Physical Design Manager to lead and manage a team of talented physical design engineers. The ideal candidate will have a strong technical background in physical design, excellent leadership and communication skills, and a proven track record of delivering complex designs. Key...


  • Bengaluru, Karnataka, India Univision Technology Consulting Pvt. Ltd. Full time

    About Univision:UNIVISION specializes in enabling technology through R&D, innovation, and disruptive solutions across VLSI, System Engineering, IoT, Automation, Cloud Computing, and Artificial Intelligence. The company delivers customer-centric and customized solutions across various industries, including Semiconductors, Automotive, Cloud Computing, Consumer...


  • Bengaluru, Karnataka, India Coders Brain Technology Private Limited Full time

    Job Description : Physical Design Engineer - PD Synthesis with Genus Tool ExpertiseRole Overview : We are looking for a skilled and detail-oriented Physical Design Engineer with expertise in PD synthesis and proficiency with Cadence Genus tool. The candidate will contribute to our advanced VLSI design projects, focusing on delivering high-quality...


  • Bengaluru, Karnataka, India ACL Digital Full time

    - Experience with industry-standard physical design tools (Cadence, Synopsys, Mentor Graphics)- Knowledge of advanced process nodes (7nm, 5nm, etc.)- Experience with scripting languages such as Python, TCL, or Perl- Strong understanding of VLSI design principles- Experience in low-power design techniques- Bachelor's or Master's degree in Electrical...


  • Bengaluru, Karnataka, India eInfochips (An Arrow Company) Full time

    JOB DESCRIPTION, ROLE & RESPONSIBILITESPOSITION TITLE:Senior Engineer/Engineer – Physical DesignLOCATION:Noida/ Bangalore/ Hyderabad/ AhmedabadROLE & RESPONSIBILITIES• Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna...


  • Bengaluru, Karnataka, India eInfochips (An Arrow Company) Full time

    JOB DESCRIPTION, ROLE & RESPONSIBILITESPOSITION TITLE:Senior Engineer/Engineer – Physical DesignLOCATION:Noida/ Bangalore/ Hyderabad/ AhmedabadROLE & RESPONSIBILITIES• Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna...