Physical Design Engineer

4 days ago


Bengaluru, Karnataka, India ACL Digital Full time
- Experience with industry-standard physical design tools (Cadence, Synopsys, Mentor Graphics)
- Knowledge of advanced process nodes (7nm, 5nm, etc.)
- Experience with scripting languages such as Python, TCL, or Perl
- Strong understanding of VLSI design principles
- Experience in low-power design techniques
- Bachelor's or Master's degree in Electrical Engineering or related field
- Minimum of 5 years of experience in physical design of digital, mixed-signal, or RF integrated circuits
- Expert knowledge of industry-standard EDA tools for physical design and verification, such as Synopsys ICC, Primetime, and StarRC
- Proven experience with advanced physical implementation techniques, such as multi-patterning, layout-dependent effects, and low-power design
- Excellent analytical and problem-solving skills
- Strong interpersonal and communication skills with the ability to collaborate effectively with cross-functional teams

  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Title: Physicla design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will development and implementation of cutting-edge physical design methodologies and flows for complex ASIC designs, and did block level...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Title: Physical design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in oursemiconductor design team. As a PD Implementation Engineer , you will development andimplementation of cutting-edge physical design methodologies and flows for complex ASIC designs,and did block level...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Company OverviewEximietas Design is a leading company in the field of physical design, pushing the boundaries of innovation and technology. Job DescriptionWe are seeking an experienced Senior Physical Design Engineer to join our team in Bangalore. As a key member of our team, you will be responsible for conducting all aspects of the physical design flow,...


  • Bengaluru, Karnataka, India EXIMIETAS DESIGN PRIVATE LIMITED Full time

    Job OverviewWe are seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will be responsible for the development and implementation of cutting-edge physical design methodologies and flows for complex ASIC designs, and block-level implementation.You will collaborate closely...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Hi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...


  • Bengaluru, Karnataka, India Cadence System Design and Analysis Full time

    We are looking for an innovative, dedicated engineer who has a broad and general fascination with the ASIC Netlist-GDS physical design and who can be an independent problem solver without depending too much on flow running.Responsibilities:Work on challenging DDR PHY IP & Testchip Physical Design from Netlist-to-GDS in tech nodes below 7nm.Take ownership of...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Hi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...


  • Bengaluru, Karnataka, India Tecquire Solutions Pvt Ltd Full time

    Job Description : We are looking for a dynamic Physical Design Engineer with 2-5 years of experience in physical design, Static Timing Analysis (STA), and a strong inclination towards software and automation. The ideal candidate will combine deep technical expertise in physical implementation with proficiency in software-driven methodologies to enhance...


  • Bengaluru, Karnataka, India Univision Technology Consulting Pvt. Ltd. Full time

    About Univision:UNIVISION specializes in enabling technology through R&D, innovation, and disruptive solutions across VLSI, System Engineering, IoT, Automation, Cloud Computing, and Artificial Intelligence. The company delivers customer-centric and customized solutions across various industries, including Semiconductors, Automotive, Cloud Computing, Consumer...


  • Bengaluru, Karnataka, India Tecquire Solutions Pvt Ltd Full time

    Job Description :We are looking for a dynamic Physical Design Engineer with 2-5 years of experience in physical design, Static Timing Analysis (STA), and a strong inclination towards software and automation. The ideal candidate will combine deep technical expertise in physical implementation with proficiency in software-driven methodologies to enhance design...


  • Bengaluru, Karnataka, India Tecquire Solutions Pvt Ltd Full time

    Job Description :We are looking for a dynamic Physical Design Engineer with 2-5 years of experience in physical design, Static Timing Analysis (STA), and a strong inclination towards software and automation. The ideal candidate will combine deep technical expertise in physical implementation with proficiency in software-driven methodologies to enhance design...


  • Bengaluru, Karnataka, India Univision Technology Consulting Pvt. Ltd. Full time

    About Univision:UNIVISION specializes in enabling technology through R&D, innovation, and disruptive solutions across VLSI, System Engineering, IoT, Automation, Cloud Computing, and Artificial Intelligence. The company delivers customer-centric and customized solutions across various industries, including Semiconductors, Automotive, Cloud Computing, Consumer...


  • Bengaluru, Karnataka, India eInfochips (An Arrow Company) Full time

    JOB DESCRIPTION, ROLE & RESPONSIBILITESPOSITION TITLE:Senior Engineer/Engineer – Physical DesignLOCATION:Noida/ Bangalore/ Hyderabad/ AhmedabadROLE & RESPONSIBILITIES• Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna...


  • Bengaluru, Karnataka, India eInfochips (An Arrow Company) Full time

    JOB DESCRIPTION, ROLE & RESPONSIBILITESPOSITION TITLE:Senior Engineer/Engineer – Physical DesignLOCATION:Noida/ Bangalore/ Hyderabad/ AhmedabadROLE & RESPONSIBILITIES• Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna...


  • Bengaluru, Karnataka, India Hire22 Full time

    Job DescriptionBrief DescriptionLeading Global Semiconductor Firm is hiring a Physical Design Engineer in Bangalore with 4-10 years of experience. Must have expertise in SoC and Floorplanning.Job Description- 4+ years experience in Physical Design- Experience in Floorplanning for SoC using Innovus- Must have a knowledge and implementation strategies to...


  • Bengaluru, Karnataka, India DBSI Services Full time

    We are seeking aPhysical Design Engineer . This is ahands-on technical positionand will have opportunities to work on a variety of challenging designs. Critical to this position is the ability to articulate technical discussions with ASIC Customers and design teams and work closely with customer, frontend and integration teams to ensure successful tape...


  • Bengaluru, Karnataka, India Coders Brain Technology Private Limited Full time

    Job Description : Physical Design Engineer - PD Synthesis with Genus Tool ExpertiseRole Overview : We are looking for a skilled and detail-oriented Physical Design Engineer with expertise in PD synthesis and proficiency with Cadence Genus tool. The candidate will contribute to our advanced VLSI design projects, focusing on delivering high-quality...


  • Bengaluru, Karnataka, India DBSI Services Full time

    DescriptionWe are seeking a Physical Design Engineer. This is a hands-on technical position and will have opportunities to work on a variety of challenging designs. Critical to this position is the ability to articulate technical discussions with ASIC Customers and design teams and work closely with customer, frontend and integration teams to ensure...


  • Bengaluru, Karnataka, India Qualcomm Full time

    Company Qualcomm India Private LimitedJob Area Engineering Group Engineering Group Hardware EngineeringGeneral Summary Physical design of block level with full understanding of PnR cycle Good understanding of Physical design fundamentalsGood hands-on experience on industry standard pnr tools like ICC2 InnovusGood understanding on signoff tool like Prime...

  • Physical Design

    5 days ago


    Bengaluru, Karnataka, India AMD Full time

    Job DescriptionWHAT YOU DO AT AMD CHANGES EVERYTHINGWe care deeply about transforming lives with AMD technology to enrich our industry, our communities, and the world. Our mission is to build great products that accelerate next-generation computing experiences - the building blocks for the data center, artificial intelligence, PCs, gaming and embedded....