Physical Design Engineer

2 weeks ago


Bengaluru, Karnataka, India eInfochips (An Arrow Company) Full time
JOB DESCRIPTION, ROLE & RESPONSIBILITES

POSITION TITLE:

Senior Engineer/Engineer – Physical Design
LOCATION:

Noida/ Bangalore/ Hyderabad/ Ahmedabad

ROLE & RESPONSIBILITIES

• Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna fixing &signal integrity, Power grid analysis atc in ASIC PNR Flow

• Engineer will be responsible for executing the block level place and route assignments from Netlist through GDS flow

• Should be able to do full chip implementation of complex SoCs (RTL-to-GDSII), but it is not must.

• To close STA timing across all corners and modes for blocks and should be able to generate ECO independently.

• Will be responsible to Work with design teams for closing CTS, IO timing, DFT timing.

• Responsible for digital design automation, flow-automation and regression across RTL-to-GDSII.

• To ensure successful delivery of his block(s) to customers

ESSENTIAL SKILLS & EXPERIENCE

• Minimum 5 year's experience in Physical Design.

• Strong fundamentals on Physical design including Floorplan, power grid analysis, placement, cts, routing, DRC-LVS closure, timing closure, antenna fixing, signal integrity on 65nm, 45nm, 28nm, 16nm geometry.

• Sound expertise in Tcl, Perl, Shell scripting. Technically sound & good team player

• Hands-on experience with Place and Route tools (Synopsys - ICC, Cadence – Innovus / Encounter) is a must.

• Experience on latest technology (28nm,16nm,7 nm)

EDUCATION BACKGROUND

• B.E./ B.S./ B.Tech/ M.S./ M.Tech in VLSI/Electronics/Electrical/Computer/Instrumentation Engineering.

ABOUT eInfochips (An Arrow Company):
eInfochips, an Arrow company (A $30B, NASDAQ listed (ARW); Ranked #102 on the Fortune List), is a leading global provider of product engineering and semiconductor design services. 25+ years of proven track record, with a team of over 2500+ engineers, the team has been instrumental in developing over 500+ products and 40M deployments in 140 countries. Company's service offerings include Silicon Engineering, Embedded Engineering, Hardware Engineering & Digital Engineering services. eInfochips services 7 of the top 10 semiconductor companies and is recognized by NASSCOM, Zinnov and Gartner as a leading Semiconductor service provider.

  • Bengaluru, Karnataka, India Eximietas Design Full time

    At Eximietas Design, we're seeking an exceptional Physical Design Engineer to join our team in Bangalore. This senior design lead position offers a unique opportunity to leverage your expertise in structural and physical design aspects.The ideal candidate will possess in-depth knowledge of cutting-edge process technologies, including analysis from device to...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Title: Physicla design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will development and implementation of cutting-edge physical design methodologies and flows for complex ASIC designs, and did block level...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Overview Eximietas Design is seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will develop and implement cutting-edge physical design methodologies and flows for complex ASIC designs, and block-level implementation. You will collaborate closely with...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Title: Physical design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in oursemiconductor design team. As a PD Implementation Engineer , you will development andimplementation of cutting-edge physical design methodologies and flows for complex ASIC designs,and did block level...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Eximietas Design is seeking an experienced Senior Physical Design Lead to join our team in Bangalore. The ideal candidate will have expertise in all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis.Key...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Hi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...


  • Bengaluru, Karnataka, India Cadence System Design and Analysis Full time

    We are looking for an innovative, dedicated engineer who has a broad and general fascination with the ASIC Netlist-GDS physical design and who can be an independent problem solver without depending too much on flow running.Responsibilities:Work on challenging DDR PHY IP & Testchip Physical Design from Netlist-to-GDS in tech nodes below 7nm.Take ownership of...


  • Bengaluru, Karnataka, India Cadence System Design and Analysis Full time

    We are looking for an innovative, dedicated engineer who has a broad and general fascination with the ASIC Netlist-GDS physical design and who can be an independent problem solver without depending too much on flow running.Responsibilities:Work on challenging DDR PHY IP & Testchip Physical Design from Netlist-to-GDS in tech nodes below 7nm.Take ownership of...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Hi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Eximietas Design is a dynamic company that values innovation and expertise in physical design engineering.We're seeking a highly skilled Senior Design Lead to join our team in Bangalore, with a focus on physical design aspects. The ideal candidate will have extensive knowledge of process technologies, including analysis from device to APR level.This position...


  • Bengaluru, Karnataka, India Cadence System Design and Analysis Full time

    At Cadence System Design and Analysis, we are seeking a highly skilled Senior ASIC Physical Design Engineer to join our team. The ideal candidate will have a broad and general fascination with the ASIC Netlist-GDS physical design and be able to work independently without depending too much on flow running.Key Responsibilities:Work on challenging DDR PHY IP &...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    About the PositionWe are seeking a highly skilled and experienced Physical Design Lead to join our team at Eximietas Design. The successful candidate will be responsible for leading our physical design team, driving the implementation of innovative strategies, and ensuring seamless execution across all aspects of the physical design flow.Key...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    About the RoleEximietas Design is seeking an experienced PD Implementation Engineer to join our team. The successful candidate will be responsible for developing and implementing cutting-edge physical design methodologies and flows for complex ASIC designs, and block-level implementation. This is an exciting opportunity to work on challenging projects and...


  • Bengaluru, Karnataka, India Univision Technology Consulting Pvt. Ltd. Full time

    About Univision:UNIVISION specializes in enabling technology through R&D, innovation, and disruptive solutions across VLSI, System Engineering, IoT, Automation, Cloud Computing, and Artificial Intelligence. The company delivers customer-centric and customized solutions across various industries, including Semiconductors, Automotive, Cloud Computing, Consumer...


  • Bengaluru, Karnataka, India Tecquire Solutions Pvt Ltd Full time

    Job Description :We are looking for a dynamic Physical Design Engineer with 2-5 years of experience in physical design, Static Timing Analysis (STA), and a strong inclination towards software and automation. The ideal candidate will combine deep technical expertise in physical implementation with proficiency in software-driven methodologies to enhance design...


  • Bengaluru, Karnataka, India Tecquire Solutions Pvt Ltd Full time

    Job Description :We are looking for a dynamic Physical Design Engineer with 2-5 years of experience in physical design, Static Timing Analysis (STA), and a strong inclination towards software and automation. The ideal candidate will combine deep technical expertise in physical implementation with proficiency in software-driven methodologies to enhance design...


  • Bengaluru, Karnataka, India Univision Technology Consulting Pvt. Ltd. Full time

    About Univision:UNIVISION specializes in enabling technology through R&D, innovation, and disruptive solutions across VLSI, System Engineering, IoT, Automation, Cloud Computing, and Artificial Intelligence. The company delivers customer-centric and customized solutions across various industries, including Semiconductors, Automotive, Cloud Computing, Consumer...


  • Bengaluru, Karnataka, India Coders Brain Technology Private Limited Full time

    Job Description : Physical Design Engineer - PD Synthesis with Genus Tool ExpertiseRole Overview : We are looking for a skilled and detail-oriented Physical Design Engineer with expertise in PD synthesis and proficiency with Cadence Genus tool. The candidate will contribute to our advanced VLSI design projects, focusing on delivering high-quality...


  • Bengaluru, Karnataka, India Advanced Micro Devices Full time

    The RoleWe are seeking a skilled Physical Design Engineer to join our CPU physical design team. The ideal candidate will have experience working on high-performance designs, preferably with CPUs, GPUs, or other complex ICs. Strong analytical skills, effective communication, and excellent problem-solving abilities are essential for this role.Key...


  • Bengaluru, Karnataka, India Qualcomm Full time

    Company Qualcomm India Private LimitedJob Area Engineering Group Engineering Group Hardware EngineeringGeneral Summary Physical design of block level with full understanding of PnR cycle Good understanding of Physical design fundamentalsGood hands-on experience on industry standard pnr tools like ICC2 InnovusGood understanding on signoff tool like Prime...