Senior Physical Design Leads

1 week ago


Bengaluru, Karnataka, India Eximietas Design Full time

Hi All,

Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location.

Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis.

Possess expertise in structural and physical design aspects, including physical clock design, timing closure, coverage analysis, placing, routing, and synthesis.

Worked on cutting edge Process technologies and analysis from device to APR level, frequency-power optimization, STA and PVTs, Clock distribution and quality, Power Grid planning and IR/RV analysis.

Cheetah flows Experience is required.

One lead + 3-4 member team of 5 to 7 years of experience.

Immediate Joiners Preferred.

Location- Bangalore only

Interested Candidates please start sharing your resumes:



  • Bengaluru, Karnataka, India Eximietas Design Full time

    Eximietas Design is seeking an experienced Senior Physical Design Lead to join our team in Bangalore. The ideal candidate will have expertise in all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis.Key...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    At Eximietas Design, we're seeking an exceptional Physical Design Engineer to join our team in Bangalore. This senior design lead position offers a unique opportunity to leverage your expertise in structural and physical design aspects.The ideal candidate will possess in-depth knowledge of cutting-edge process technologies, including analysis from device to...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    About the PositionWe are seeking a highly skilled and experienced Physical Design Lead to join our team at Eximietas Design. The successful candidate will be responsible for leading our physical design team, driving the implementation of innovative strategies, and ensuring seamless execution across all aspects of the physical design flow.Key...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Eximietas Design is a dynamic company that values innovation and expertise in physical design engineering.We're seeking a highly skilled Senior Design Lead to join our team in Bangalore, with a focus on physical design aspects. The ideal candidate will have extensive knowledge of process technologies, including analysis from device to APR level.This position...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    About Eximietas Design: We're a leading company in the field of physical design engineering, committed to innovation and excellence.We're seeking a Senior Design Lead to join our team in Bangalore, with a focus on physical design aspects. The ideal candidate will have a strong background in process technology, including analysis from device to APR level.The...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Title: Physical design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in oursemiconductor design team. As a PD Implementation Engineer , you will development andimplementation of cutting-edge physical design methodologies and flows for complex ASIC designs,and did block level...


  • Bengaluru, Karnataka, India Cadence System Design and Analysis Full time

    At Cadence System Design and Analysis, we are seeking a highly skilled Senior ASIC Physical Design Engineer to join our team. The ideal candidate will have a broad and general fascination with the ASIC Netlist-GDS physical design and be able to work independently without depending too much on flow running.Key Responsibilities:Work on challenging DDR PHY IP &...


  • Bengaluru, Karnataka, India ACL Digital Full time

    **About ACL Digital:**We are a leading technology firm that specializes in innovative solutions.**Job Summary:**We are seeking a highly experienced Senior Technical Lead to join our Physical Design team. The ideal candidate will have extensive experience in leading the planning and execution of all aspects of physical design, including floor planning, place...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    As a Senior Physical Design Lead at Eximietas Design, you will be responsible for leading all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis.Key Requirements:Expertise in structural and physical design aspects,...


  • Bengaluru, Karnataka, India Cadence System Design and Analysis Full time

    We are looking for an innovative, dedicated engineer who has a broad and general fascination with the ASIC Netlist-GDS physical design and who can be an independent problem solver without depending too much on flow running.Responsibilities:Work on challenging DDR PHY IP & Testchip Physical Design from Netlist-to-GDS in tech nodes below 7nm.Take ownership of...


  • Bengaluru, Karnataka, India Cadence System Design and Analysis Full time

    We are looking for an innovative, dedicated engineer who has a broad and general fascination with the ASIC Netlist-GDS physical design and who can be an independent problem solver without depending too much on flow running.Responsibilities:Work on challenging DDR PHY IP & Testchip Physical Design from Netlist-to-GDS in tech nodes below 7nm.Take ownership of...

  • Physical Design Lead

    8 hours ago


    Bengaluru, Karnataka, India Angel and Genie Full time

    Role Overview :We are seeking a highly motivated and experienced Physical Design Lead to join our dynamic team in Bengaluru. In this role, you will lead the physical design activities for complex integrated circuits, ensuring high performance, power efficiency, and manufacturability. You will be responsible for driving all aspects of physical design, from...


  • Bengaluru, Karnataka, India Advanced Micro Devices Full time

    As a Senior Physical Designer at AMD, you'll play a critical role in developing high-speed digital units, including CPU, GPU, memory controllers, and DDR interfaces. Your experience with Synopsys tools and preference for MNC experience will be valuable assets in this position.Requirements12 years of professional experience in the semiconductor...


  • Bengaluru, Karnataka, India Cadence Design Systems, Inc. Full time

    We are looking for a skilled Physical Layer Expert Lead to join our Post Silicon Physical Layer Electrical Validation team at Cadence Design Systems, Inc. The ideal candidate will have a deep understanding of High Speed SERDES protocols and experience in leading post-silicon validation efforts.About the RoleThis role involves designing and developing...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Title: Physicla design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will development and implementation of cutting-edge physical design methodologies and flows for complex ASIC designs, and did block level...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    **About Eximietas DesignWe are a technology-driven organization with a passion for innovation and excellence. Our team is composed of skilled professionals who share a common goal: to deliver cutting-edge solutions that meet the ever-evolving needs of our clients.Critical Role OverviewThis position plays a pivotal role in shaping the direction of our...


  • Bengaluru, Karnataka, India Qualcomm Full time

    Company OverviewQualcomm is a leading technology innovator that pushes the boundaries of what's possible to enable next-generation experiences. Our company drives digital transformation to help create a smarter, connected future for all.Job DescriptionWe are seeking a highly skilled Physical Design Engineer to work on high-speed cores. The ideal candidate...


  • Bengaluru, Karnataka, India HCLTech Vietnam Full time

    About the RoleWe are seeking a highly skilled Physical Design Engineer to join our team at HCLTech Vietnam.Job SummaryThe successful candidate will be responsible for managing technology in projects and providing technical guidance/solutions for work completion. They will also develop and guide team members in enhancing their technical capabilities and...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Overview Eximietas Design is seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will develop and implement cutting-edge physical design methodologies and flows for complex ASIC designs, and block-level implementation. You will collaborate closely with...


  • Bengaluru, Karnataka, India aimpluss Full time

    We are looking for Physical Design Lead for a well know semiconductor industry.Job Location : Bangalore (Canidate willing to relocate can apply)Notice period : Immediate to Max 20 daysTechnical Skills : - Should be able to handle Full chip PnR (timing/congestion/CTS issues), understanding of IO ring, package support, multi voltage design- Deep understanding...