Physical Design Lead
7 days ago
Qualcomm is a leading technology innovator that pushes the boundaries of what's possible to enable next-generation experiences. Our company drives digital transformation to help create a smarter, connected future for all.
Job Description
We are seeking a highly skilled Physical Design Engineer to work on high-speed cores. The ideal candidate will have a strong background in physical design and timing signoff, with expertise in high-frequency design convergence and PPA targets. The successful candidate will also have experience with deep sub-micron design problems and solutions, including leakage power, signal integrity, and DFM. Additionally, they should be familiar with low-power flow, power gating, multi-Vt flow, and power supply management.
Required Skills and Qualifications
The selected candidate will need to have a Bachelor's degree in Computer Science, Electrical Electronics Engineering, or a related field, with 2-4 years of experience in Physical Design and timing signoff for high-speed cores. Alternatively, candidates with a Master's/Bachelor's degree in Electrical Electronics science engineering with at least 7 years of experience in IC design may also apply. They should also have experience in leading block-level or chip-level Physical Design, STA, and PDN activities, working independently on RTL to GDSII implementation, and collaborating and resolving issues related to constraints validation, verification, STA, Physical design, etc. Knowledge of Tcl and Perl scripting is also desirable.
Benefits
At Qualcomm, we offer a competitive compensation package, excellent benefits, and opportunities for professional growth and development. Our company is committed to making our workplace accessible for individuals with disabilities.
-
Lead Physical Design Architect
1 day ago
Bengaluru, Karnataka, India Eximietas Design Full timeAbout the PositionWe are seeking a highly skilled and experienced Physical Design Lead to join our team at Eximietas Design. The successful candidate will be responsible for leading our physical design team, driving the implementation of innovative strategies, and ensuring seamless execution across all aspects of the physical design flow.Key...
-
Senior Physical Design Leads
1 week ago
Bengaluru, Karnataka, India Eximietas Design Full timeHi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...
-
Senior Physical Design Leads
2 weeks ago
Bengaluru, Karnataka, India Eximietas Design Full timeHi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...
-
Physical Design Lead
1 hour ago
Bengaluru, Karnataka, India Eximietas Design Full timeWe are looking for a highly skilled Physical Design Lead at Eximietas Design in Bangalore.The successful candidate will be responsible for conducting all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis.Key...
-
Physical Design Engineer
4 days ago
Bengaluru, Karnataka, India Eximietas Design Full timeAt Eximietas Design, we're seeking an exceptional Physical Design Engineer to join our team in Bangalore. This senior design lead position offers a unique opportunity to leverage your expertise in structural and physical design aspects.The ideal candidate will possess in-depth knowledge of cutting-edge process technologies, including analysis from device to...
-
Senior Physical Design Engineer
4 days ago
Bengaluru, Karnataka, India Eximietas Design Full timeEximietas Design is seeking an experienced Senior Physical Design Lead to join our team in Bangalore. The ideal candidate will have expertise in all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis.Key...
-
Physical Verification Lead
1 hour ago
Bengaluru, Karnataka, India Cadence Design Systems Full timeCadence Design Systems is a technology leader that drives innovation in electronic design. We're committed to delivering exceptional products and services that meet the needs of our customers. As a Physical Verification Lead, you'll play a critical role in ensuring the quality of our products.Company OverviewCadence Design Systems is a pioneering leader in...
-
Expert Physical Design Professional
4 days ago
Bengaluru, Karnataka, India Eximietas Design Full timeEximietas Design is a dynamic company that values innovation and expertise in physical design engineering.We're seeking a highly skilled Senior Design Lead to join our team in Bangalore, with a focus on physical design aspects. The ideal candidate will have extensive knowledge of process technologies, including analysis from device to APR level.This position...
-
Lead Physical Design Engineer
4 days ago
Bengaluru, Karnataka, India Cadence System Design and Analysis Full timeWe are looking for an innovative, dedicated engineer who has a broad and general fascination with the ASIC Netlist-GDS physical design and who can be an independent problem solver without depending too much on flow running.Responsibilities:Work on challenging DDR PHY IP & Testchip Physical Design from Netlist-to-GDS in tech nodes below 7nm.Take ownership of...
-
Senior Engineering Manager for Physical Design
5 hours ago
Bengaluru, Karnataka, India Eximietas Design Full timeAt Eximietas Design, we are seeking an experienced Senior Physical Design Lead to join our team in Bangalore.The ideal candidate will possess expertise in all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis.The...
-
Physical Design Lead
1 day ago
Bengaluru, Karnataka, India Angel and Genie Full timeRole Overview :We are seeking a highly motivated and experienced Physical Design Lead to join our dynamic team in Bengaluru. In this role, you will lead the physical design activities for complex integrated circuits, ensuring high performance, power efficiency, and manufacturability. You will be responsible for driving all aspects of physical design, from...
-
Physical Layer Expert Lead
4 days ago
Bengaluru, Karnataka, India Cadence Design Systems, Inc. Full timeWe are looking for a skilled Physical Layer Expert Lead to join our Post Silicon Physical Layer Electrical Validation team at Cadence Design Systems, Inc. The ideal candidate will have a deep understanding of High Speed SERDES protocols and experience in leading post-silicon validation efforts.About the RoleThis role involves designing and developing...
-
Physical Design Engineer
1 week ago
Bengaluru, Karnataka, India Eximietas Design Full timeJob Title: Physicla design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will development and implementation of cutting-edge physical design methodologies and flows for complex ASIC designs, and did block level...
-
Principal Physical Design Strategist
2 days ago
Bengaluru, Karnataka, India Eximietas Design Full time**About Eximietas DesignWe are a technology-driven organization with a passion for innovation and excellence. Our team is composed of skilled professionals who share a common goal: to deliver cutting-edge solutions that meet the ever-evolving needs of our clients.Critical Role OverviewThis position plays a pivotal role in shaping the direction of our...
-
Physical Design Implementation Engineer
2 days ago
Bengaluru, Karnataka, India Eximietas Design Full timeJob Overview Eximietas Design is seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will develop and implement cutting-edge physical design methodologies and flows for complex ASIC designs, and block-level implementation. You will collaborate closely with...
-
Physical Design Lead
3 days ago
Bengaluru, Karnataka, India aimpluss Full timeWe are looking for Physical Design Lead for a well know semiconductor industry.Job Location : Bangalore (Canidate willing to relocate can apply)Notice period : Immediate to Max 20 daysTechnical Skills : - Should be able to handle Full chip PnR (timing/congestion/CTS issues), understanding of IO ring, package support, multi voltage design- Deep understanding...
-
Physical Design Lead
22 hours ago
Bengaluru, Karnataka, India aimpluss Full timeWe are looking for Physical Design Lead for a well know semiconductor industry.Job Location : Bangalore (Canidate willing to relocate can apply)Notice period : Immediate to Max 20 daysTechnical Skills : - Should be able to handle Full chip PnR (timing/congestion/CTS issues), understanding of IO ring, package support, multi voltage design- Deep understanding...
-
Lead Physical Designer
7 days ago
Bengaluru, Karnataka, India Intel Full timeJob DescriptionDesign and develop custom IP and SoC designs using industry-leading EDA tools such as Synopsys and Cadence.Perform physical synthesis, floor planning, placement, routing, and clock tree synthesis.Ensure power domain analysis and handling using standard Power Formats UPF or CPF.We are seeking a skilled physical designer to join our team. If you...
-
Senior Physical Design Engineer
3 days ago
Bengaluru, Karnataka, India Eximietas Design Full timeJob Title: Physical design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in oursemiconductor design team. As a PD Implementation Engineer , you will development andimplementation of cutting-edge physical design methodologies and flows for complex ASIC designs,and did block level...
-
Physical Design Lead
1 day ago
Bengaluru, Karnataka, India Us Technology International Private Limited Full timeLead-1 Physical Design Engineer Experience: 5-10 Years Key Responsibilities: Fundamental understanding of C-MOS technology and its applications. Strong knowledge of the physical design flow for flat and hierarchical designs, including RTL/netlist to GDSII conversion. Proficiency in handling block-level/SS/SoC tapeouts with low-power implementation. ...