Senior Physical Design Engineer

1 day ago


Bengaluru, Karnataka, India Eximietas Design Full time
Job Title: Physical design Implementation
Location: Banglore
Job Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in our
semiconductor design team. As a PD Implementation Engineer , you will development and
implementation of cutting-edge physical design methodologies and flows for complex ASIC designs,
and did block level implementation. You will collaborate closely with cross-functional teams to ensure
the successful delivery of high-quality designs.
Responsibilities:
 Perform Synthesis, floorplanning, placement, Clock, routing, and PPA optimization for High
Speed Advance ASICs.
 Define and drive physical design strategies to meet aggressive performance, power, and area
targets.
 Perform efficient Clock planning and distribution to entire grid with the use of Mesh or CTS
 Conduct detailed analysis of timing, power, and area, and drive design optimizations to
improve QoR.
 Signoff closure support for STA, PV, LEC, IR/EM, CLP very efficiently.
 Work closely with RTL design and DFT teams to understand design requirements and
constraints, and drive successful tapout of complex designs.
 Support and Development of advanced physical design methodologies and flows for complex
semiconductor designs.
Requirements:
 Bachelor's or Master's degree in Electrical Engineering or Electronics & Communications.
 Proficiency in industry-standard EDA tools from Cadence, Synopsys and Mentor Graphics for
Synthesis, PnR, Signoff Closure.
 Strong scripting skills using TCL, Python, or Perl for design automation and tool customization.
 Excellent problem-solving and analytical skills, with a track record of delivering high-quality
designs on schedule.
 Outstanding communication and interpersonal skills, with the ability to collaborate
effectively in a team environment.
 Proven ability to Engineer and mentor junior engineers, fostering their professional growth
and development.
Preferred qualifications:
 Experience with advanced process nodes 3nm, 5nm, 7nm, 10nm including knowledge of
FinFET technology.
 Expertise in Synthesis that includes details understanding of RTL, Early PnR timing issues,
Constraint issue, design issues.
 Experience in handling Partitions and blocks for size estimation, pin assignment, CTS.
 Knowledge on Handling various custom IP such as PLL, Divider, Serdes, ADC, DAC, GPIO,
HSIO for PD integration at block level.
 Detailed Knowledge on Clocking methodology and various techniques to improve skew,
latency, timing, power.
 Familiarity with low-power design techniques and methodologies, such as multi-voltage
domains and power gating using UPF.
 Expertise in physical verification, including DRC, Antenna, LVS, LEC and ERC checks.
 Expertise in Timing Closure including setup, hold, DRV, SI, Interface issues.
 Experience and good understanding in various foundries and their Backend implementation
requirement.
If yes you can send me your updated CV to

  • Bengaluru, Karnataka, India Eximietas Design Full time

    At Eximietas Design, we're seeking an exceptional Physical Design Engineer to join our team in Bangalore. This senior design lead position offers a unique opportunity to leverage your expertise in structural and physical design aspects.The ideal candidate will possess in-depth knowledge of cutting-edge process technologies, including analysis from device to...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Eximietas Design is seeking an experienced Senior Physical Design Lead to join our team in Bangalore. The ideal candidate will have expertise in all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis.Key...


  • Bengaluru, Karnataka, India Cadence System Design and Analysis Full time

    At Cadence System Design and Analysis, we are seeking a highly skilled Senior ASIC Physical Design Engineer to join our team. The ideal candidate will have a broad and general fascination with the ASIC Netlist-GDS physical design and be able to work independently without depending too much on flow running.Key Responsibilities:Work on challenging DDR PHY IP &...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Eximietas Design is seeking a seasoned physical design professional to lead our engineering team in Bangalore. The ideal candidate will possess expertise in all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis.Key...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Title: Physicla design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will development and implementation of cutting-edge physical design methodologies and flows for complex ASIC designs, and did block level...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Hi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Hi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Eximietas Design is a dynamic company that values innovation and expertise in physical design engineering.We're seeking a highly skilled Senior Design Lead to join our team in Bangalore, with a focus on physical design aspects. The ideal candidate will have extensive knowledge of process technologies, including analysis from device to APR level.This position...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    We are looking for a highly skilled physical design engineer to join our team in Bangalore. The successful candidate will be responsible for conducting all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis.About...


  • Bengaluru, Karnataka, India eInfochips (An Arrow Company) Full time

    Job Role: Physical Design Engineer- Senior/LeadLocation: Bangalore, Hyderabad, Noida and AhmedabadExperience Required: 5+ROLE & RESPONSIBILITIESEngineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna fixing &signal integrity, Power...


  • Bengaluru, Karnataka, India eInfochips (An Arrow Company) Full time

    Job Role: Physical Design Engineer- Senior/Lead Location: Bangalore, Hyderabad, Noida and Ahmedabad Experience Required: 5+ ROLE & RESPONSIBILITIES Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna fixing &signal...


  • Bengaluru, Karnataka, India Wipro Full time

    About the RoleAs a Senior Engineering Manager, you will lead teams of physical design engineers to deliver high-quality designs.You will be responsible for implementing netlist2GDSII flow and ensuring timely closure of designs.


  • Bengaluru, Karnataka, India Cadence System Design and Analysis Full time

    We are looking for an innovative, dedicated engineer who has a broad and general fascination with the ASIC Netlist-GDS physical design and who can be an independent problem solver without depending too much on flow running.Responsibilities:Work on challenging DDR PHY IP & Testchip Physical Design from Netlist-to-GDS in tech nodes below 7nm.Take ownership of...


  • Bengaluru, Karnataka, India Cadence System Design and Analysis Full time

    We are looking for an innovative, dedicated engineer who has a broad and general fascination with the ASIC Netlist-GDS physical design and who can be an independent problem solver without depending too much on flow running.Responsibilities:Work on challenging DDR PHY IP & Testchip Physical Design from Netlist-to-GDS in tech nodes below 7nm.Take ownership of...


  • Bengaluru, Karnataka, India eInfochips (An Arrow Company) Full time

    JOB DESCRIPTION, ROLE & RESPONSIBILITESPOSITION TITLE:Senior Engineer/Engineer – Physical DesignLOCATION:Noida/ Bangalore/ Hyderabad/ AhmedabadROLE & RESPONSIBILITIES• Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna...


  • Bengaluru, Karnataka, India Tessolve Full time

    Hi,Thrilled to announce that Tessolve is hiring for below positions.Position: Sr Design Engineer 1 / Sr Design Engineer 2 / Design Lead / Sr Design LeadExperience: 4-11 years relevant experience.Location – BangaloreTessolve Semiconductors a venture of Hero Electronix, part of $5B Hero Group companies a Design and Test Engineering Service Company providing...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    About Eximietas Design: We're a leading company in the field of physical design engineering, committed to innovation and excellence.We're seeking a Senior Design Lead to join our team in Bangalore, with a focus on physical design aspects. The ideal candidate will have a strong background in process technology, including analysis from device to APR level.The...


  • Bengaluru, Karnataka, India Microsoft Full time

    Microsofts mission is to empower every person and every organization on the planet to achieve more Join us to achieve this by building the worlds computer The Artificial Intelligence Silicon Engineering team is seeking passionate driven and intellectually curious computer electrical engineers to deliver premium-quality designs once considered impossible ...


  • Bengaluru, Karnataka, India ACL Digital Full time

    Job DescriptionWe are seeking an experienced Senior IC Physical Design Engineer to join our team at ACL Digital. As a key member of our design group, you will be responsible for the independent planning and execution of all aspects of physical design including floor planning, place and route, Clock Tree Synthesis, Clock Distribution, extraction, Timing...


  • Bengaluru, Karnataka, India ACL Digital Full time

    About the RoleWe are seeking a highly skilled Physical Design Engineer to lead our IC design team at ACL Digital. The successful candidate will be responsible for independent planning and execution of all aspects of physical design, including floor planning, place and route, clock tree synthesis, and signoff DRC/LVS closure.The ideal candidate will have...