Advanced Physical Design Engineer

6 days ago


Bengaluru, Karnataka, India Eximietas Design Full time
Company Overview

Eximietas Design is a leading company in the field of physical design, pushing the boundaries of innovation and technology.

Job Description

We are seeking an experienced Senior Physical Design Engineer to join our team in Bangalore. As a key member of our team, you will be responsible for conducting all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis.

Required Skills and Qualifications
  • Possess expertise in structural and physical design aspects, including physical clock design, timing closure, coverage analysis, placing, routing, and synthesis.
  • Experience with cutting-edge process technologies and analysis from device to APR level, frequency-power optimization, STA and PVTs, Clock distribution and quality, Power Grid planning and IR/RV analysis.
  • Cheetah flows experience is required.
Benefits

As a Senior Physical Design Engineer at Eximietas Design, you will have the opportunity to work on challenging projects, collaborate with a talented team, and develop your skills and expertise. We offer a dynamic and supportive work environment that fosters growth and innovation.

Others

This role requires a lead + 3-4 member team of 5 to 7 years of experience. Immediate joiners are preferred. The location for this role is Bangalore only.



  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Title: Physicla design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will development and implementation of cutting-edge physical design methodologies and flows for complex ASIC designs, and did block level...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Title: Physical design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in oursemiconductor design team. As a PD Implementation Engineer , you will development andimplementation of cutting-edge physical design methodologies and flows for complex ASIC designs,and did block level...


  • Bengaluru, Karnataka, India Advanced Micro Devices Full time

    Career OpportunityAre you a seasoned Physical Design and Verification Expert looking for a new challenge? Do you want to work on cutting-edge projects and collaborate with a talented team of engineers? If so, we encourage you to apply for this exciting opportunity to join our design team at Advanced Micro Devices.Job SummaryWe are seeking a highly skilled...


  • Bengaluru, Karnataka, India Advanced Micro Devices Full time

    We're Advanced Micro Devices, and we're changing the game. Our mission is to build great products that accelerate next-generation computing experiences – the building blocks for the data center, artificial intelligence, PCs, gaming, and embedded. Underpinning our mission is the AMD culture: we push the limits of innovation to solve the world's most...


  • Bengaluru, Karnataka, India EXIMIETAS DESIGN PRIVATE LIMITED Full time

    Job OverviewWe are seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will be responsible for the development and implementation of cutting-edge physical design methodologies and flows for complex ASIC designs, and block-level implementation.You will collaborate closely...


  • Bengaluru, Karnataka, India Advanced Micro Devices Full time

    We're Advanced Micro Devices, and we're shaping the future of technology. Our mission is to create innovative products that transform lives and enrich our communities. As a member of our team, you'll be part of a dynamic and collaborative environment where creativity and innovation thrive.About the RoleWe're seeking an Advanced Semiconductor Designer to join...


  • Bengaluru, Karnataka, India Advanced Micro Devices Full time

    We are a cutting-edge technology company, Advanced Micro Devices (AMD), and we're seeking a highly skilled Silicon Design Engineer to join our team. In this role, you will be responsible for creating full custom layouts in advanced technology nodes.As a member of our competitive team, you will have the opportunity to work on challenging projects, collaborate...


  • Bengaluru, Karnataka, India Synopsys Inc Full time

    Job DescriptionThis is an exciting opportunity to join our Mixed-Signal IP organization as a Senior Physical Design Engineer. As a member of our team, you will be responsible for driving the physical implementation of high-speed interface IPs and test-chips from RTL to GDSII.You will collaborate with cross-functional teams to ensure seamless integration and...


  • Bengaluru, Karnataka, India ACL Digital Full time

    - Experience with industry-standard physical design tools (Cadence, Synopsys, Mentor Graphics)- Knowledge of advanced process nodes (7nm, 5nm, etc.)- Experience with scripting languages such as Python, TCL, or Perl- Strong understanding of VLSI design principles- Experience in low-power design techniques- Bachelor's or Master's degree in Electrical...


  • Bengaluru, Karnataka, India Tecquire Solutions Pvt Ltd Full time

    About Tecquire SolutionsTecquire Solutions Pvt Ltd is a dynamic company that provides cutting-edge solutions in the field of electronic design automation (EDA).Job SummaryWe are looking for an experienced Physical Design Engineer to join our team. The ideal candidate will have a strong background in physical design, STA, and DFM, and will be able to design...


  • Bengaluru, Karnataka, India Tecquire Solutions Pvt Ltd Full time

    Job Description : We are looking for a dynamic Physical Design Engineer with 2-5 years of experience in physical design, Static Timing Analysis (STA), and a strong inclination towards software and automation. The ideal candidate will combine deep technical expertise in physical implementation with proficiency in software-driven methodologies to enhance...


  • Bengaluru, Karnataka, India Advanced Micro Devices Full time

    The RoleWe are seeking an experienced CPU Design Engineer to join our team. As a key member of our design team, you will be responsible for delivering the physical design of critical CPU units to meet challenging goals for frequency, power, and other design requirements.


  • Bengaluru, Karnataka, India Tecquire Solutions Pvt Ltd Full time

    Job Description :We are looking for a dynamic Physical Design Engineer with 2-5 years of experience in physical design, Static Timing Analysis (STA), and a strong inclination towards software and automation. The ideal candidate will combine deep technical expertise in physical implementation with proficiency in software-driven methodologies to enhance design...


  • Bengaluru, Karnataka, India Tecquire Solutions Pvt Ltd Full time

    Job Description :We are looking for a dynamic Physical Design Engineer with 2-5 years of experience in physical design, Static Timing Analysis (STA), and a strong inclination towards software and automation. The ideal candidate will combine deep technical expertise in physical implementation with proficiency in software-driven methodologies to enhance design...


  • Bengaluru, Karnataka, India Advanced Micro Devices Full time

    WHAT YOU DO AT AMD CHANGES EVERYTHINGWe care deeply about transforming lives with AMD technology to enrich our industry our communities and the world Our mission is to build great products that accelerate next-generation computing experiences the building blocks for the data center artificial intelligence PCs gaming and embedded Underpinning our...


  • Bengaluru, Karnataka, India Mulya Technologies Full time

    Staff Physical Design Engineer We are a team of industry veterans revolutionizing sustainable AI compute through intuitive software with composable silicon. About the Role We are seeking a highly experienced Staff Physical Design Engineer to join our team in Bangalore, India. The ideal candidate will have experience in Physical Design implementation (from...


  • Bengaluru, Karnataka, India ACL Digital Full time

    Job DescriptionWe are seeking a highly skilled Physical Design Engineer to join our team at ACL Digital.About the Role:This is a challenging and rewarding opportunity for an experienced physical design engineer to take on a lead role in the development of advanced chip solutions. The successful candidate will be responsible for the independent planning and...


  • Bengaluru, Karnataka, India Coders Brain Technology Private Limited Full time

    Job Description : Physical Design Engineer - PD Synthesis with Genus Tool ExpertiseRole Overview : We are looking for a skilled and detail-oriented Physical Design Engineer with expertise in PD synthesis and proficiency with Cadence Genus tool. The candidate will contribute to our advanced VLSI design projects, focusing on delivering high-quality...

  • Physical Design

    6 hours ago


    Bengaluru, Karnataka, India Sykatiya Technology Pvt Ltd Full time

    About Company Profile : . Sykatiya Technologies, founded in 2012, believes in Technical Ability along with the Attitude of our highly talented team and reflects the same in the contributions to the customers' project. Team comprises highly talented engineers and experts from Design Verification, DFT/Test, Physical Design and Analog Design for ASICs. Job...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Hi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...