Current jobs related to Lead Engineer for Structural and Physical Design - Bengaluru, Karnataka - Eximietas Design
-
Senior Physical Design Leads
3 weeks ago
Bengaluru, Karnataka, India Eximietas Design Full timeHi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...
-
Senior Physical Design Leads
4 weeks ago
Bengaluru, Karnataka, India Eximietas Design Full timeHi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...
-
Lead Physical Design Engineer
2 weeks ago
Bengaluru, Karnataka, India Cadence System Design and Analysis Full timeWe are looking for an innovative, dedicated engineer who has a broad and general fascination with the ASIC Netlist-GDS physical design and who can be an independent problem solver without depending too much on flow running.Responsibilities:Work on challenging DDR PHY IP & Testchip Physical Design from Netlist-to-GDS in tech nodes below 7nm.Take ownership of...
-
Advanced Physical Design Engineer
6 days ago
Bengaluru, Karnataka, India Eximietas Design Full timeCompany OverviewEximietas Design is a leading company in the field of physical design, pushing the boundaries of innovation and technology. Job DescriptionWe are seeking an experienced Senior Physical Design Engineer to join our team in Bangalore. As a key member of our team, you will be responsible for conducting all aspects of the physical design flow,...
-
Physical Design Lead
8 hours ago
Bengaluru, Karnataka, India Angel and Genie Full timeRole Overview : We are seeking a highly motivated and experienced Physical Design Lead to join our dynamic team in Bengaluru. In this role, you will lead the physical design activities for complex integrated circuits, ensuring high performance, power efficiency, and manufacturability. You will be responsible for driving all aspects of physical design, from...
-
Physical Design Lead
2 weeks ago
Bengaluru, Karnataka, India Angel and Genie Full timeRole Overview :We are seeking a highly motivated and experienced Physical Design Lead to join our dynamic team in Bengaluru. In this role, you will lead the physical design activities for complex integrated circuits, ensuring high performance, power efficiency, and manufacturability. You will be responsible for driving all aspects of physical design, from...
-
Physical Design Engineer
3 weeks ago
Bengaluru, Karnataka, India Eximietas Design Full timeJob Title: Physicla design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will development and implementation of cutting-edge physical design methodologies and flows for complex ASIC designs, and did block level...
-
Senior Physical Design Engineer
2 weeks ago
Bengaluru, Karnataka, India Eximietas Design Full timeJob Title: Physical design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in oursemiconductor design team. As a PD Implementation Engineer , you will development andimplementation of cutting-edge physical design methodologies and flows for complex ASIC designs,and did block level...
-
Physical Design Architect
31 minutes ago
Bengaluru, Karnataka, India Angel and Genie Full timeAbout the RoleWe are seeking an experienced Physical Design Lead to join our dynamic team at Angel and Genie. As a key member of our team, you will be responsible for driving the physical design activities for complex integrated circuits. Your expertise will help us deliver high-performance, power-efficient designs that meet manufacturability...
-
Bengaluru, Karnataka, India Eximietas Design Full timeJob OverviewEximietas Design is seeking an experienced STA Lead to join our team. The successful candidate will be responsible for leading the development of timing analysis tools and working closely with cross-functional teams to ensure smooth project execution.The ideal candidate will have expertise in physical design, digital fault tolerance, and static...
-
Senior Structural Engineer
4 weeks ago
Bengaluru, Karnataka, India Design Elementary Full timeCompany DescriptionWelcome to Design Elementary India's first DesignTech platform dedicated to transforming & simplifying architectural design experiences for B2C & B2B customers. With operations in 15+ cities, serving 1000+ customers, and working with 50+ designers, Design Elementary combines cutting-edge technology with a network of experienced architects,...
-
Senior Physical Design Engineer
6 days ago
Bengaluru, Karnataka, India Paulwin George (Proprietor of Angel And Genie) Full timeJob OverviewWe are seeking a highly experienced and skilled Physical Design engineer to lead our physical design team at Paulwin George (Proprietor of Angel And Genie). The successful candidate will oversee the full physical design cycle, from synthesis to GDSII, for complex SoCs.
-
Physical Design Team Lead
2 hours ago
Bengaluru, Karnataka, India Paulwin George (Proprietor of Angel And Genie) Full timePursuing excellence in physical design requires a unique blend of technical expertise and leadership skills. At Paulwin George's Angel And Genie, we're committed to fostering an environment that encourages innovation and collaboration.We're looking for a seasoned Physical Design Team Lead who can drive our physical design team towards success. The ideal...
-
Physical Design Manager
3 days ago
Bengaluru, Karnataka, India Angel and Genie Full timeLocation : Bangalore / BhubaneswarJob Type : Full-TimePayroll : Direct PayrollExperience : 8 to 12 yearsWork Mode : Work From OfficeNotice Period : 0-30 days preferredWe are seeking a highly experienced and motivated Physical Design Lead/Manager to spearhead our physical design team. The ideal candidate will possess a deep understanding of the entire...
-
Principal Physical Design Engineer
5 hours ago
Bengaluru, Karnataka, India EXIMIETAS DESIGN PRIVATE LIMITED Full timeJob OverviewWe are seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will be responsible for the development and implementation of cutting-edge physical design methodologies and flows for complex ASIC designs, and block-level implementation.You will collaborate closely...
-
Physical Design Lead
6 days ago
Bengaluru, Karnataka, India ACL Digital Full timeAbout ACL Digital:We are a leading provider of digital transformation solutions that empower businesses to succeed in the digital age.Job Summary:A highly skilled Pnr Leads is required to lead our team of engineers in designing and developing cutting-edge semiconductor solutions. The ideal candidate will have extensive experience in full chip PnR, synthesis,...
-
Structural Design Engineer
3 weeks ago
Bengaluru, Karnataka, India Intel Full timeJob DescriptionJob Description- As an IP Structural Design Engineer, you will be working alongside Elite IP and SoC design teams to deliver next-generation Xeon products and related IPs for Server markets. We are looking for candidates with experience as physical design engineers as part of the Structural Design Expert Team in the IP organization.- You will...
-
Structural Design Engineer
6 days ago
Bengaluru, Karnataka, India Intel Corporation Full timeJob Description- As an IP Structural Design Engineer, you will be working alongside Elite IP and SoC design teams to deliver next-generation Xeon products and related IPs for Server markets. We are looking for candidates with experience as physical design engineers as part of the Structural Design Expert Team in the IP organization.- You will be fluent in...
-
Lead Physical Design Engineer
3 weeks ago
Bengaluru, Karnataka, India ACL Digital Full timePNR Lead Engineers Work Location: Bangalore Experience: 7+Years Technical Skills: Should be able to handle Full chip PnR (timing/congestion/CTS issues), understanding of IO ring, package support, multi voltage design Deep understanding of the concepts related to synthesis, place & route, CTS, timing convergence, IR/EM checks and signoff DRC/LVS closure...
-
Lead Physical Design Engineer
2 days ago
Bengaluru, Karnataka, India Analog Devices, Inc. (ADI) Full timeCompany OverviewAnalog Devices, Inc. (ADI) is a global leader in the semiconductor industry, bridging the physical and digital worlds to drive innovation at the Intelligent Edge.We combine analog, digital, and software technologies into solutions that help our customers achieve breakthroughs in digitized factories, mobility, and digital healthcare, while...
Lead Engineer for Structural and Physical Design
1 week ago
Eximietas Design is seeking a highly experienced Physical Design Lead to join our team in Bangalore.
The selected candidate will be responsible for conducting all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis.
We require a strong background in structural and physical design aspects, including physical clock design, timing closure, coverage analysis, placing, routing, and synthesis.
Experience with Cheetah flows and working knowledge of cutting-edge Process technologies and analysis from device to APR level are also essential.
A lead position is available with a team of 3-4 members, each with 5 to 7 years of experience. Immediate joiners are preferred, and the location is Bangalore only.