
Senior Physical Verification Lead Engineer
7 days ago
BE/BTECH/MTECH in EE/ECE with proven experience in ASIC Physical Design
Detailed knowledge of EDA tools and flows for power, Ansys Redhawk /Cadence Voltus experience is must
Experience – 6+ years
Well versed with the power grid design and power calculation methodologies
Role involves tasks in estimating power using industry standard tool , designing power grid , analyze power grid, doing static IR drop, dynamic IR drop
Understanding custom placement/routing using semi-automatic/manual method , Should have worked on Physical Verification checks for Low Power SoC (DRC, ERC, LVS, ANT, ESD, DFM)
Physical and electrical quality, familiarity with tools for schematics, layout, and circuit/logic simulation
Strong communication skills, ability to multi-task across projects, and work with geographically spread out teams
Good automation skills in PERL, TCL and EDA tool-specific scripting
-
Physical Verification Engineer
4 days ago
india UST Full timeJob Title: Physical Verification EngineerCompany: UST GlobalLocation: BangaloreExperience Level: 4 to 8 yearsInterview- F2F, you need to be present in BangaloreNotice Period- Immediate to 30 daysJob Description:UST Global is seeking a Physical Verification Engineer with expertise in block-level and chip-level verification for advanced semiconductor designs....
-
Physical Verification Engineer
5 days ago
india UST Full timeJob Title:Physical Verification EngineerCompany:UST GlobalLocation:BangaloreExperience Level:4 to 8 yearsInterview-F2F, you need to be present in BangaloreNotice Period-Immediate to 30 daysJob Description:UST Global is seeking aPhysical Verification Engineerwith expertise inblock-level and chip-level verificationfor advanced semiconductor designs. The ideal...
-
Senior Staff Physical Verification Engineer
1 week ago
india Mulya Technologies Full timeSenior Staff Physical Verification EngineerBangalore /HyderabadFounded by highly respected Silicon Valley veterans - with its design centers established inSanta Clara and Hyderabad .Opening Shortly in BangaloreCandidate should individually own and close physical verification DRC/LVS at ICC2/innovus level and Signoff physical verification DRC/LVS/ANT for...
-
Senior Physical Design Engineer
3 weeks ago
Bengaluru, India Gaafet Semiconductor Pvt Ltd Full timeJob Description Company Description Gaafet Semiconductor is a leading semiconductor company dedicated to pushing the boundaries of innovation and delivering cutting-edge semiconductor solutions. Our team of brilliant engineers and visionaries work tirelessly to develop the technologies that power the future. We provide valuable industry insights,...
-
Senior Physical Verification Lead Engineer
6 days ago
india ACL Digital Full timeBE/BTECH/MTECH in EE/ECE with proven experience in ASIC Physical Design Detailed knowledge of EDA tools and flows for power, Ansys Redhawk /Cadence Voltus experience is must Experience – 6+ years Well versed with the power grid design and power calculation methodologies Role involves tasks in estimating power using industry standard tool , designing...
-
Physical Verification Sign-off
3 weeks ago
India 聯發科技 Full timeEnglish Native - Work with various implementation team to drive full-chip Physical Verification Sign-off closure in the area of (DRC, LVS, ANT, ERC, ESD, PERC) for tape-out. - Co-work with Place & Route team to resolve full-chip layout integration issues. - Work with various implementation team to drive Physical Verification - Coordinates with internal IP...
-
Physical Design Engineer
6 days ago
india UST Full timeJob Title: Physical Design (PD) Engineer/ Senior PD Engineer/ Lead PD EngineerCompany: UST GlobalLocation: BangaloreExperience Level: 4+ years, 7+ years, 10+ yearsJob Description:UST Global is seeking a skilled Physical Design (PD) Engineer to drive advanced RTL-to-GDSII implementation for cutting-edge semiconductor projects. The ideal candidate will have...
-
Senior Physical Design Specialist
4 days ago
India Xilinx Full timeWe are seeking a highly skilled Senior Physical Design Specialist to lead the physical design and implementation of critical subsystems within advanced SOC designs. The successful candidate will drive the physical design flow from floor planning through final sign-off, collaborating closely with cross-functional teams to meet stringent power, performance,...
-
Physical Asset Verification
4 weeks ago
Bengaluru, India Klaus IT Solutions Pvt Ltd Full timeJob Description Skills: Physical Inspection, Problem Solving, physical asset verfication, it asset management, RFID, Readers, Job Description 1-2 years of experience in physical asset verification or a related field. Proficiency in asset management software (e.g., SAP, ASSENT (Asset Management software)). Experience with handheld readers and RFID...
-
Bengaluru, India Qualcomm Full timeJob Description Job Description Company: Qualcomm India Private Limited Job Area: Engineering Group, Engineering Group Hardware Engineering General Summary: As a leading technology innovator, Qualcomm pushes the boundaries of what's possible to enable next-generation experiences and drives digital transformation to help create a smarter, connected...
-
Senior Staff Physical Design Engineer
2 weeks ago
India Mulya Technologies Full timeSenior Staff / Staff Physical Design Engineer Greater Bangalore -Hybrid/Hyderabad (Hybrid ) / India-WFH(Remote ) PrincipalPhysical Design Engineer Company Background We are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and...
-
Senior Staff Physical Design Engineer
7 days ago
india Mulya Technologies Full timeSenior Staff / Staff Physical Design EngineerGreater Bangalore -Hybrid/Hyderabad (Hybrid ) / India-WFH(Remote )PrincipalPhysical Design EngineerCompany BackgroundWe are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and...
-
Senior Principal Physical Design Engineer
15 hours ago
India Mulya Technologies Full timeSenior /PrincipalPhysical Design Engineer Greater Bangalore -Hybrid/Hyderabad (Hybrid ) / India-WFH(Remote ) Company Background We are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and hyperscale cloud services, and backed...
-
Physical Design Engineer
7 days ago
india UST Full timeJob Title:Physical Design (PD) Engineer/ Senior PD Engineer/ Lead PD EngineerCompany:UST GlobalLocation:BangaloreExperience Level:4+ years, 7+ years, 10+ yearsJob Description:UST Global is seeking a skilledPhysical Design (PD) Engineerto drive advancedRTL-to-GDSII implementationfor cutting-edge semiconductor projects. The ideal candidate will have expertise...
-
Senior Design Verification Engineer
7 days ago
india Eximietas Design Full timeEximietas Hiring Senior Design Verification Engineers/LeadsExperience - 5-15 Yrs.Notice period - Short Notice Candidates Preferred/ Max 45 Days.Location - BangaloreJob Description:- Lead SoC Design Verification efforts for complex projects, ensuring successful execution of verification plans.- Develop and implement comprehensive verification strategies,...
-
Senior Physical Design Manager
1 week ago
india Mulya Technologies Full timeSenior Physical Design ManagerHyderabadFounded by highly respected Silicon Valley veterans - with its design centers established in Santa Clara, California. / Hyderabad/ BangaloreA US based well-funded product-based startup looking for Highly talented Senior Physical Design Manager / ManagerPD Manager/Sr. Manager JD –Are you a highly motivated and skilled...
-
Senior Principal Physical Design Engineer
4 days ago
India Mulya Technologies Full timeSenior /PrincipalPhysical Design EngineerGreater Bangalore -Hybrid/Hyderabad (Hybrid ) / India-WFH(Remote )Company BackgroundWe are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and hyperscale cloud services, and backed by...
-
Senior Verification Engineer
4 weeks ago
Bengaluru, India Marvell Technology, Inc. Full timeJob Description About Marvell Marvell's semiconductor solutions are the essential building blocks of the data infrastructure that connects our world. Across enterprise, cloud and AI, automotive, and carrier architectures, our innovative technology is enabling new possibilities. At Marvell, you can affect the arc of individual lives, lift the trajectory of...
-
Senior Physical Design Engineer
5 days ago
india Numem Full timePosition OverviewThis role is focused on physical design for semiconductor chips at advanced process nodes (22nm and 16nm FinFET). The position involves end-to-end physical design activities, from floor planning to final physical verification, with an emphasis on achieving timing closure and implementing low-power designs.Responsibilities- Physical Design...
-
Senior Staff Physical Design Engineer
6 days ago
india, india Mulya Technologies Full timeSenior Staff / Staff Physical Design Engineer Hybrid/Hyderabad (Hybrid ) / India-WFH(Remote ) Principal Physical Design Engineer Company Background We are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and hyperscale cloud...