Physical Design Lead

7 days ago


Bengaluru, Karnataka, India Globex Digital Full time

About Us

Globex Digital is a leading company in the field of digital circuit design. Our team of experts has extensive experience in developing innovative solutions for complex design challenges.

We are seeking a skilled Physical Design Lead to join our team and contribute to our mission of delivering high-quality designs that meet customer expectations.

Key Responsibilities

The successful candidate will be responsible for:

  • Leading the design and optimization of digital circuits from netlists to GDSII files
  • Developing and maintaining expertise in physical design methodologies and EDA tools
  • Mentoring junior engineers to improve their design skills and knowledge

Requirements

To excel in this role, you should possess:

  • Proven experience in physical design methodologies and EDA tools
  • Strong leadership skills to inspire and motivate a team
  • Excellent communication skills to collaborate effectively with cross-functional teams


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Hi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Hi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...


  • Bengaluru, Karnataka, India Cadence System Design and Analysis Full time

    We are looking for an innovative, dedicated engineer who has a broad and general fascination with the ASIC Netlist-GDS physical design and who can be an independent problem solver without depending too much on flow running.Responsibilities:Work on challenging DDR PHY IP & Testchip Physical Design from Netlist-to-GDS in tech nodes below 7nm.Take ownership of...


  • Bengaluru, Karnataka, India Angel and Genie Full time

    Role Overview : We are seeking a highly motivated and experienced Physical Design Lead to join our dynamic team in Bengaluru. In this role, you will lead the physical design activities for complex integrated circuits, ensuring high performance, power efficiency, and manufacturability. You will be responsible for driving all aspects of physical design, from...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job OverviewEximietas Design is seeking an experienced STA Lead to join our team. The successful candidate will be responsible for leading the development of timing analysis tools and working closely with cross-functional teams to ensure smooth project execution.The ideal candidate will have expertise in physical design, digital fault tolerance, and static...

  • Physical Design Lead

    2 weeks ago


    Bengaluru, Karnataka, India Angel and Genie Full time

    Role Overview :We are seeking a highly motivated and experienced Physical Design Lead to join our dynamic team in Bengaluru. In this role, you will lead the physical design activities for complex integrated circuits, ensuring high performance, power efficiency, and manufacturability. You will be responsible for driving all aspects of physical design, from...

  • Physical Design Lead

    16 hours ago


    Bengaluru, Karnataka, India ACL Digital Full time

    **About the Role:**A seasoned Physical Design Engineer is required to lead our chip design team at ACL Digital. As a key member, you will be responsible for overseeing the physical design process, from conceptualization to delivery.Key Responsibilities:Developing and executing comprehensive physical design plans that meet performance and power...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Title: Physicla design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer, you will development and implementation of cutting-edge physical design methodologies and flows for complex ASIC designs, and did block level...

  • Physical Design Lead

    24 hours ago


    Bengaluru, Karnataka, India L&T Technology Services Full time

    L&T Technology Services is seeking a skilled Physical Design Lead to join our team. As a key member of our design team, you will be responsible for leading the development of complex digital designs from netlist to GDS-II.Key Responsibilities:Develop and implement IP/Block level physical design activitiesCollaborate with cross-functional teams to ensure...

  • Lead Physical Designer

    21 hours ago


    Bengaluru, Karnataka, India Paulwin George (Proprietor of Angel And Genie) Full time

    To achieve success in physical design, it's essential to have a deep understanding of the complexities involved. As a Lead Physical Designer at Paulwin George's Angel And Genie, you'll be working on complex SoCs, driving the physical design cycle from synthesis to GDSII.The ideal candidate will have a strong foundation in physical design methodologies,...


  • Bengaluru, Karnataka, India aimpluss Full time

    We are looking for Physical Design Lead for a well know semiconductor industry. Job Location : Bangalore (Canidate willing to relocate can apply) Notice period : Immediate to Max 20 days Technical Skills : - Should be able to handle Full chip PnR (timing/congestion/CTS issues), understanding of IO ring, package support, multi voltage design - Deep...

  • Physical Design Lead

    2 weeks ago


    Bengaluru, Karnataka, India aimpluss Full time

    We are looking for Physical Design Lead for a well know semiconductor industry.Job Location : Bangalore (Canidate willing to relocate can apply)Notice period : Immediate to Max 20 daysTechnical Skills : - Should be able to handle Full chip PnR (timing/congestion/CTS issues), understanding of IO ring, package support, multi voltage design- Deep understanding...

  • Physical Design Lead

    2 weeks ago


    Bengaluru, Karnataka, India aimpluss Full time

    We are looking for Physical Design Lead for a well know semiconductor industry.Job Location : Bangalore (Canidate willing to relocate can apply)Notice period : Immediate to Max 20 daysTechnical Skills : - Should be able to handle Full chip PnR (timing/congestion/CTS issues), understanding of IO ring, package support, multi voltage design- Deep understanding...


  • Bengaluru, Karnataka, India Eximietas Design Full time

    Job Title: Physical design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in oursemiconductor design team. As a PD Implementation Engineer , you will development andimplementation of cutting-edge physical design methodologies and flows for complex ASIC designs,and did block level...

  • Physical Design Lead

    2 weeks ago


    Bengaluru, Karnataka, India Us Technology International Private Limited Full time

    Lead-1 Physical Design Engineer Experience: 5-10 Years Key Responsibilities: Fundamental understanding of C-MOS technology and its applications. Strong knowledge of the physical design flow for flat and hierarchical designs, including RTL/netlist to GDSII conversion. Proficiency in handling block-level/SS/SoC tapeouts with low-power implementation. ...


  • Bengaluru, Karnataka, India AMD Full time

    At AMD, we are committed to transforming lives with our technology and enriching our industry, communities, and the world. Our mission is to build great products that accelerate next-generation computing experiences.We push the limits of innovation to solve the world's most important challenges, striving for execution excellence while being direct, humble,...


  • Bengaluru, Karnataka, India ACL Digital Full time

    About ACL Digital:We're a digital transformation company that helps businesses succeed in an ever-changing world. Our team of experts provides innovative solutions that drive growth, improve efficiency, and enhance customer experience.Job Title: Technical Lead - Physical DesignJob Summary:This role is responsible for leading our physical design efforts,...

  • Physical Design Lead

    12 hours ago


    Bengaluru, Karnataka, India ACL Digital Full time

    About ACL DigitalWe are a forward-thinking digital solutions company dedicated to delivering innovative and high-quality products. Our team is passionate about creating exceptional experiences for our clients and pushing the boundaries of technology.Job SummaryWe are seeking a seasoned Physical Design Engineer to join our team. As a critical member of our...

  • Technical Lead

    2 days ago


    Bengaluru, Karnataka, India ACL Digital Full time

    About this Role:We are seeking a highly skilled Physical Design Specialist Lead to join our team. As a key member of our engineering department, you will be responsible for designing and implementing complex physical designs, ensuring compliance with industry standards and best practices.Responsibilities:Lead the design and implementation of complex physical...


  • Bengaluru, Karnataka, India ACL Digital Full time

    About the JobWe are looking for a skilled Physical Design Engineer to lead the physical design efforts for our next-generation digital designs at ACL Digital.The successful candidate will have a proven track record in physical design, with expertise in layout, timing closure, and signoff.Job Description:Floor Planning: Develop and implement effective floor...