Current jobs related to Lead Physical Designer - Hyderabad, Telangana - ACL Digital


  • Hyderabad, Telangana, India Sevya Multimedia Full time

    As ASIC Physical Design Lead you will be leading the design of IP/SoC in advanced process technologies, serving global Semiconductor product MNC clients.Job Summary:We are looking for an ASIC Physical Design Lead with extensive experience in timing closure and full-chip physical design. The candidate should be adept at interacting with the packaging team and...


  • Hyderabad, Telangana, India Xilinx Full time

    Job DescriptionWHAT YOU DO AT AMD CHANGES EVERYTHINGWe care deeply about transforming lives with AMD technology to enrich our industry, our communities, and the world. Our mission is to build great products that accelerate next-generation computing experiences - the building blocks for the data center, artificial intelligence, PCs, gaming and embedded....

  • SoC Physical Design

    3 weeks ago


    Hyderabad, Telangana, India Xilinx Full time

    Job DescriptionWHAT YOU DO AT AMD CHANGES EVERYTHINGWe care deeply about transforming lives with AMD technology to enrich our industry, our communities, and the world. Our mission is to build great products that accelerate next-generation computing experiences - the building blocks for the data center, artificial intelligence, PCs, gaming and embedded....


  • Hyderabad, Telangana, India MosChip® Full time

    He/She should be able to do top-level floor planning, PG Planning, partitioning,placement, scan-chain-reordering, clock tree synthesis, timing optimization, SI aware routing, timing analysis/closure and ECO tasks (timing and functional ECOs), SI closure, design rule checks (DRC), and Logical vs. Schematic (LVS) checks, Antenna checks. He/She should have...


  • Hyderabad, Telangana, India MosChip Full time

    He/She should be able to do top-level floor planning, PG Planning, partitioning,placement, scan-chain-reordering, clock tree synthesis, timing optimization, SI aware routing, timing analysis/closure and ECO tasks (timing and functional ECOs), SI closure, design rule checks (DRC), and Logical vs. Schematic (LVS) checks, Antenna checks. He/She should have...


  • Hyderabad, Telangana, India Sevya Multimedia Full time

    As an ASIC Physical Design Lead at Sevya Multimedia, you will play a critical role in driving innovation and efficiency in physical design workflows. With extensive experience in timing closure and full-chip physical design, you will be responsible for leading the design of IP/SoC in advanced process technologies.**Responsibilities Include:**Collaborate with...


  • Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full time

    We LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments.Please refer to the links below for more details...


  • Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full time

    We LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments. Please refer to the links below for more details...


  • Hyderabad, Telangana, India IIT Hyderabad Full time

    What You'll Be Doing :- In this position, you will expect to lead all block/chip level PD activities.- PD activities includes floor plans, abstract view generation, RC extraction, PNR, STA, EM,IR DROP, DRCs & schematic to layout verification. - Work in collaboration with design team for addressing design challenges.- Help team members in debugging...


  • Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full time

    We LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments. Please refer to the links below for more details...


  • Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full time

    We LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments. Please refer to the links below for more details...


  • Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full time

    We LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments.Please refer to the links below for more details...


  • Hyderabad, Telangana, India stealth mode startup - AI Product Based Company Full time

    About Company :We are a silicon startup working on high-performance computing and AI acceleration solutions. Founded by Raja Koduri, a pioneer in high performance and visual compute architectures, and backed by a world-class engineering team with experience from leading semiconductor companies including Intel, NVidia, and AMD. Our mission is to shatter...


  • Hyderabad, Telangana, India stealth mode startup - AI Product Based Company Full time

    About Company :We are a silicon startup working on high-performance computing and AI acceleration solutions. Founded by Raja Koduri, a pioneer in high performance and visual compute architectures, and backed by a world-class engineering team with experience from leading semiconductor companies including Intel, NVidia, and AMD. Our mission is to shatter...


  • Hyderabad, Telangana, India ACL Digital Full time

    Physical Design Engineer - Senior / LeadJob Description:Strong background in digital IC design, including floorplanning, placement, routing, clock tree synthesis, and optimization.Tools Expertise: Proficient in Innovus, ICC2, and Fusion Compiler for place and route, timing closure, and physical design sign-off.Physical Design: Experience in floorplanning,...


  • Hyderabad, Telangana, India Wipro Full time

    Job Title: Physical Design EngineerDescription:We are seeking a skilled Physical Design Engineer to join our team at Wipro. The ideal candidate will have experience with Netlist2 GDSII implementation, including floor planning, placement, CTS, routing, STA, and power integrity analysis.Additionally, the successful candidate should have expertise in physical...


  • Hyderabad, Telangana, India Wipro Full time

    Job Title: Physical Design EngineerDuration: FulltimeExperience: 5+yrs to AnyLocation:Job Description:· Experience on Netlist2GDSII Implementation i.e. Floor planning, Placement, CTS, Routing, STA, Power Integrity Analysis, Physical Verification.· Should have experience on Physical Design Methodologies and sub-micron technology of 28nm and lower technology...


  • Hyderabad, Telangana, India Wipro Full time

    Job Title: Physical Design EngineerDuration: FulltimeExperience: 5+yrs to AnyLocation: Job Description:· Experience on Netlist2GDSII Implementation i.e. Floor planning, Placement, CTS, Routing, STA, Power Integrity Analysis, Physical Verification.· Should have experience on Physical Design Methodologies and sub-micron technology of 28nm and lower...


  • Hyderabad, Telangana, India BITSILICA Full time

    **Job Overview**BITSILICA is seeking a highly skilled Physical Design Engineer to join our team. As a Physical Design Engineer, you will be responsible for designing and developing complex digital circuits.Responsibilities:Block level floor planning, pre-wire and timing-optimization tasksDetailed place and route for both block macros and chip level,...


  • Hyderabad, Telangana, India Mulya Technologies Full time

    IntroductionMulya Technologies is a leading provider of innovative design solutions.Our company was founded by renowned Silicon Valley veterans with a vision to revolutionize the industry.About Our TeamWe pride ourselves on being a collaborative and dynamic team that values innovation and creativity.Our expert engineers work tirelessly to deliver exceptional...

Lead Physical Designer

1 week ago


Hyderabad, Telangana, India ACL Digital Full time

Company Overview

AFL Digital is a leading provider of digital solutions. We are committed to delivering high-quality products and services to our customers.

Job Requirements

  • Bachelor's degree in Electrical Engineering or Computer Science.
  • 4+ years of experience in physical design engineering.
  • Experience with Synopsys ICC2, Primetime, Calibre, Redhawk-SC, and other physical design tools.
  • Excellent problem-solving, leadership, and communication skills.

Benefits

  • Competitive salary and benefits package.
  • Opportunities for career growth and professional development.
  • Collaborative and dynamic work environment.