Physical Design Engineer
3 weeks ago
Duration: Fulltime
Experience: 5+yrs to Any
Location:
Job Description:
· Experience on Netlist2GDSII Implementation i.e. Floor planning, Placement, CTS, Routing, STA, Power Integrity Analysis, Physical Verification.
· Should have experience on Physical Design Methodologies and sub-micron technology of 28nm and lower technology nodes.
· Should have experience on programming in Tcl/Tk/Perl.
· Experience on Synopsys/Cadence tools. (Innovus, ICC2, Primetime, PT-PX, Calibre).
· Well versed with timing constraints, STA and timing closure.
Exposure to Cheetah 2 experience would be advantageous
Tools: Fusion Compiler/ICC2 or Innovus
-
Physical Design Engineer
20 hours ago
Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full timeWe LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments. Please refer to the links below for more details...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full timeWe LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments.Please refer to the links below for more details...
-
Physical Design Engineer
2 weeks ago
Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full timeWe LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments. Please refer to the links below for more details...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full timeWe LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments.Please refer to the links below for more details...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full timeWe LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments. Please refer to the links below for more details...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full timeWe LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments. Please refer to the links below for more details...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India Makonis Full timeJob Title: Physical Design Engineer – DDR/HBM/UCIe IP ImplementationLocation: HyderabadExperience: 4-7 YearsJob Description:We are looking for a Physical Design Engineer with strong technical fundamentals and hands-on expertise in timing closure (2GHz+), mixed-signal hard macro integration, and clock tree optimization. The role involves daily collaboration...
-
Physical Design Engineer
20 hours ago
Hyderabad, Telangana, India Makonis Full timeJob Title: Physical Design Engineer – DDR/HBM/UCIe IP ImplementationLocation: HyderabadExperience: 4-7 YearsJob Description:We are looking for a Physical Design Engineer with strong technical fundamentals and hands-on expertise in timing closure (2GHz+), mixed-signal hard macro integration, and clock tree optimization. The role involves daily collaboration...
-
Physical Design Engineer
3 hours ago
Hyderabad, Telangana, India Makonis Full timeJob DescriptionJob Title: Physical Design Engineer DDR/HBM/UCIe IP ImplementationLocation: HyderabadExperience: 4-7 YearsJob Description:We are looking for a Physical Design Engineer with strong technical fundamentals and hands-on expertise in timing closure (2GHz+), mixed-signal hard macro integration, and clock tree optimization. The role involves daily...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India IIT Hyderabad Full timeWhat You'll Be Doing :- In this position, you will expect to lead all block/chip level PD activities.- PD activities includes floor plans, abstract view generation, RC extraction, PNR, STA, EM,IR DROP, DRCs & schematic to layout verification. - Work in collaboration with design team for addressing design challenges.- Help team members in debugging...
-
Staff Physical Design Engineer
2 weeks ago
Hyderabad, Telangana, India Mulya Technologies Full timeStaff Physical Design Engineer Greater Bangalore -Hybrid/Hyderabad (Hybrid ) Staff Physical Design Engineer Company Background We are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and hyperscale cloud services, and backed by...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India stealth mode startup - AI Product Based Company Full timeAbout Company :We are a silicon startup working on high-performance computing and AI acceleration solutions. Founded by Raja Koduri, a pioneer in high performance and visual compute architectures, and backed by a world-class engineering team with experience from leading semiconductor companies including Intel, NVidia, and AMD. Our mission is to shatter...
-
Physical Design Engineer
2 weeks ago
Hyderabad, Telangana, India stealth mode startup - AI Product Based Company Full timeAbout Company :We are a silicon startup working on high-performance computing and AI acceleration solutions. Founded by Raja Koduri, a pioneer in high performance and visual compute architectures, and backed by a world-class engineering team with experience from leading semiconductor companies including Intel, NVidia, and AMD. Our mission is to shatter...
-
Staff Physical Design Engineer
2 weeks ago
Hyderabad, Telangana, India Mulya Technologies Full timePrincipalPhysical Design EngineerGreater Bangalore -Hybrid/Hyderabad (Hybrid )Staff Physical Design EngineerCompany BackgroundWe are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and hyperscale cloud services, and backed by...
-
Staff Physical Design Engineer
4 hours ago
Hyderabad, Telangana, India Mulya Technologies Full timePrincipalPhysical Design EngineerGreater Bangalore -Hybrid/Hyderabad (Hybrid )Staff Physical Design EngineerCompany BackgroundWe are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and hyperscale cloud services, and backed by...
-
Staff Physical Design Engineer
2 weeks ago
Hyderabad, Telangana, India Mulya Technologies Full timeStaff Physical Design EngineerGreater Bangalore -Hybrid/Hyderabad (Hybrid ) Staff Physical Design EngineerCompany BackgroundWe are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and hyperscale cloud services, and backed by...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India Wipro Full time**Job Title: Physical Design Engineer** Duration: Full-time Experience: 4+ years to Any **Job Description:** Expertise in Netlist2GDSII implementation, including floor planning, placement, CTS, routing, STA, and power integrity analysis. Strong background in physical design methodologies and sub-micron technology of 28nm and lower technology nodes....
-
Senior Physical Design Engineer
3 days ago
Hyderabad, Telangana, India ACL Digital Full timePhysical Design Engineer - Senior / LeadJob Description:Strong background in digital IC design, including floorplanning, placement, routing, clock tree synthesis, and optimization.Tools Expertise: Proficient in Innovus, ICC2, and Fusion Compiler for place and route, timing closure, and physical design sign-off.Physical Design: Experience in floorplanning,...
-
Senior Physical Design Engineer
18 hours ago
Hyderabad, Telangana, India ACL Digital Full timePhysical Design Engineer - Senior / Lead Job Description: Requires a strong background in digital IC design, encompassing floorplanning, placement, routing, clock tree synthesis, and optimization. Proficient in using tools like Innovus, ICC2, and Fusion Compiler for place and route, timing closure, and physical design sign-off. Experienced in physical design...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India Microsoft Full timeOverview Microsoft Silicon, Cloud Hardware, and Infrastructure Engineering (SCHIE) is the team behind Microsoft's expanding Cloud Infrastructure and responsible for powering Microsoft's "Intelligent Cloud" mission. SCHIE delivers the core infrastructure and foundational technologies for Microsoft's over 200 online businesses including Bing, MSN, Office...