Physical Design Engineer
2 weeks ago
We LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments. Please refer to the links below for more details about the organization. https://www.leadsoc.com/default LEADSOC Homepage https://www.leadsoc.com/Default.aspx Who we are https://www.leadsoc.com/aboutus.aspx VLSI services https://www.leadsoc.com/vlsi.aspx Detailed Job Description: Job Role: VLSI Physical Design Engineer Experience: 4-7 Years Location: Hyderabad, Telengana Job Summary: We are seeking a highly motivated VLSI Physical Design Engineer with 4 to 7 years of experience to join our advanced semiconductor team. The ideal candidate will be responsible for developing and optimizing physical design flows, ensuring design integrity, and meeting stringent performance, power, and area (PPA) goals in the VLSI domain. This role demands a deep understanding of integrated circuit (IC) physical design methodologies and the ability to collaborate effectively with cross-functional teams. Key Responsibilities: Develop and execute physical design strategies for complex VLSI projects. Handle floor-planning, placement, clock tree synthesis, routing, and timing closure. Optimize design layouts to meet PPA targets and ensure adherence to design rules. Perform post-layout verification including DRC (Design Rule Checking) and LVS (Layout vs. Schematic) checks. Collaborate with verification teams to ensure design integrity and quality. Analyze and resolve issues related to timing, power, and signal integrity. Work closely with digital design, verification, and process engineering teams to integrate physical design solutions seamlessly. Maintain comprehensive documentation of design methodologies, tools usage, and process improvements. Provide technical support and mentorship to junior engineers within the team. Stay updated with the latest industry trends and advancements in VLSI physical design. Propose and implement innovative solutions to streamline design processes and improve overall efficiency. Required Qualifications: Education: Bachelor's or Master's degree in Electrical Engineering, Electronics, or a related field. Experience: 4 - 7 years of hands-on experience in VLSI physical design. Core Competencies: Deep understanding of IC layout design and physical implementation processes. Familiarity with industry-standard physical design tools and methodologies. Strong problem-solving skills and attention to detail. Effective communication and teamwork skills. Technical Skills: VLSI - Physical Design This role offers the opportunity to work on cutting-edge VLSI designs and be part of an innovative team driving next-generation semiconductor technologies. If you have a passion for physical design and a track record of excellence in the VLSI domain, we encourage you to apply.
-
Physical Design Engineer
3 days ago
Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full timeWe LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments. Please refer to the links below for more details...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full timeWe LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments.Please refer to the links below for more details...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full timeWe LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments. Please refer to the links below for more details...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India LeadSoc Technologies Pvt Ltd Full timeWe LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments. Please refer to the links below for more details...
-
Physical Design Engineer
1 day ago
Hyderabad, Telangana, India stealth mode startup - AI Product Based Company Full timeAbout Company : We are a silicon startup working on high-performance computing and AI acceleration solutions. Founded by Raja Koduri, a pioneer in high performance and visual compute architectures, and backed by a world-class engineering team with experience from leading semiconductor companies including Intel, NVidia, and AMD. Our mission is to shatter...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India Makonis Full timeJob Title: Physical Design Engineer – DDR/HBM/UCIe IP ImplementationLocation: HyderabadExperience: 4-7 YearsJob Description:We are looking for a Physical Design Engineer with strong technical fundamentals and hands-on expertise in timing closure (2GHz+), mixed-signal hard macro integration, and clock tree optimization. The role involves daily collaboration...
-
Physical Design Engineer
2 days ago
Hyderabad, Telangana, India Makonis Full timeJob DescriptionJob Title: Physical Design Engineer DDR/HBM/UCIe IP ImplementationLocation: HyderabadExperience: 4-7 YearsJob Description:We are looking for a Physical Design Engineer with strong technical fundamentals and hands-on expertise in timing closure (2GHz+), mixed-signal hard macro integration, and clock tree optimization. The role involves daily...
-
Physical Design Engineer
3 days ago
Hyderabad, Telangana, India Makonis Full timeJob Title: Physical Design Engineer – DDR/HBM/UCIe IP ImplementationLocation: HyderabadExperience: 4-7 YearsJob Description:We are looking for a Physical Design Engineer with strong technical fundamentals and hands-on expertise in timing closure (2GHz+), mixed-signal hard macro integration, and clock tree optimization. The role involves daily collaboration...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India IIT Hyderabad Full timeWhat You'll Be Doing :- In this position, you will expect to lead all block/chip level PD activities.- PD activities includes floor plans, abstract view generation, RC extraction, PNR, STA, EM,IR DROP, DRCs & schematic to layout verification. - Work in collaboration with design team for addressing design challenges.- Help team members in debugging...
-
Physical Design Engineer
4 weeks ago
Hyderabad, Telangana, India Wipro Full timeJob Title: Physical Design EngineerDuration: FulltimeExperience: 5+yrs to AnyLocation:Job Description:· Experience on Netlist2GDSII Implementation i.e. Floor planning, Placement, CTS, Routing, STA, Power Integrity Analysis, Physical Verification.· Should have experience on Physical Design Methodologies and sub-micron technology of 28nm and lower technology...
-
Physical Design Engineer
2 weeks ago
Hyderabad, Telangana, India Wipro Full timeJob Title: Physical Design EngineerDuration: FulltimeExperience: 5+yrs to AnyLocation: Job Description:· Experience on Netlist2GDSII Implementation i.e. Floor planning, Placement, CTS, Routing, STA, Power Integrity Analysis, Physical Verification.· Should have experience on Physical Design Methodologies and sub-micron technology of 28nm and lower...
-
Staff Physical Design Engineer
2 weeks ago
Hyderabad, Telangana, India Mulya Technologies Full timeStaff Physical Design Engineer Greater Bangalore -Hybrid/Hyderabad (Hybrid ) Staff Physical Design Engineer Company Background We are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and hyperscale cloud services, and backed by...
-
Physical Design Engineer
4 weeks ago
Hyderabad, Telangana, India stealth mode startup - AI Product Based Company Full timeAbout Company :We are a silicon startup working on high-performance computing and AI acceleration solutions. Founded by Raja Koduri, a pioneer in high performance and visual compute architectures, and backed by a world-class engineering team with experience from leading semiconductor companies including Intel, NVidia, and AMD. Our mission is to shatter...
-
Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India stealth mode startup - AI Product Based Company Full timeAbout Company :We are a silicon startup working on high-performance computing and AI acceleration solutions. Founded by Raja Koduri, a pioneer in high performance and visual compute architectures, and backed by a world-class engineering team with experience from leading semiconductor companies including Intel, NVidia, and AMD. Our mission is to shatter...
-
Staff Physical Design Engineer
1 day ago
Hyderabad, Telangana, India Mulya Technologies Full timeStaff Physical Design EngineerGreater Bangalore -Hybrid/Hyderabad (Hybrid )Staff Physical Design EngineerCompany BackgroundWe are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and hyperscale cloud services, and backed by...
-
Staff Physical Design Engineer
2 weeks ago
Hyderabad, Telangana, India Mulya Technologies Full timePrincipalPhysical Design EngineerGreater Bangalore -Hybrid/Hyderabad (Hybrid )Staff Physical Design EngineerCompany BackgroundWe are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and hyperscale cloud services, and backed by...
-
Staff Physical Design Engineer
2 days ago
Hyderabad, Telangana, India Mulya Technologies Full timePrincipalPhysical Design EngineerGreater Bangalore -Hybrid/Hyderabad (Hybrid )Staff Physical Design EngineerCompany BackgroundWe are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and hyperscale cloud services, and backed by...
-
Staff Physical Design Engineer
3 weeks ago
Hyderabad, Telangana, India Mulya Technologies Full timeStaff Physical Design EngineerGreater Bangalore -Hybrid/Hyderabad (Hybrid ) Staff Physical Design EngineerCompany BackgroundWe are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and hyperscale cloud services, and backed by...
-
Physical Design Engineer
4 weeks ago
Hyderabad, Telangana, India Wipro Full time**Job Title: Physical Design Engineer** Duration: Full-time Experience: 4+ years to Any **Job Description:** Expertise in Netlist2GDSII implementation, including floor planning, placement, CTS, routing, STA, and power integrity analysis. Strong background in physical design methodologies and sub-micron technology of 28nm and lower technology nodes....
-
Staff Physical Design Engineer
1 day ago
Hyderabad, Telangana, India Mulya Technologies Full timePrincipalPhysical Design EngineerGreater Bangalore -Hybrid/Hyderabad (Hybrid )Staff Physical Design EngineerCompany BackgroundWe are a well-funded, stealth-mode startup based in Mountain View, CA, founded by senior technical and business executives hailing from category leaders in infrastructure semiconductors and hyperscale cloud services, and backed by...