Dft Cad 11+ Years

3 weeks ago


Bengaluru Karnataka, India Advanced Micro Devices, Inc Full time

Overview:
**WHAT YOU DO AT AMD CHANGES EVERYTHING**

We care deeply about transforming lives with AMD technology to enrich our industry, our communities, and the world. Our mission is to build great products that accelerate next-generation computing experiences - the building blocks for the data center, artificial intelligence, PCs, gaming and embedded. Underpinning our mission is the AMD culture. We push the limits of innovation to solve the world’s most important challenges. We strive for execution excellence while being direct, humble, collaborative, and inclusive of diverse perspectives.

AMD together we advance_

**Responsibilities**:
**SMTS SILICON DESIGN ENGINEER**

**THE ROLE**:
The focus of this role is to plan, build, and execute the verification of new and existing features for AMD’s graphics processor IP, resulting in no bugs in the final design.

**THE PERSON**:
You have a passion for modern, complex processor architecture, digital design, and verification in general. You are a team player who has excellent communication skills and experience collaborating with other engineers located in different sites/time zones. You have strong analytical and problem-solving skills and are willing to learn and ready to take on problems.

**KEY RESPONSIBILITIES**:

- Working with a multi-discipline and international team of engineers on DFT (design-for-test) and DFD (design-for-debug) architecture, tool and methodology initiatives
- Performing design-for-test (DFT) RTL design using architectural specifications and design generation flows
- Performing DFT RTL integration, synthesis, equivalency checking, timing analysis and closure including defining constraints
- Writing and maintain DFT documentation and specifications.
- Developing CAD software, scripts and other support technology to enable successful construction of DFT logics in complex SoC design.
- Performing scan insertion, ATPG verification and test pattern generation
- Providing DFT feature bring-up and pattern debug support to production engineering team during first silicon bring-up, qualification and failure analysis.

**PREFERRED EXPERIENCE**:

- Minimum B.Sc. in Electrical or Computer Engineering (or equivalent)
- Minimum 5 years of ASIC design experience
- Demonstrated technical leadership and works well with cross-functional teams.
- Excellent communication and interpersonal skills
- Experience in complex ASIC design (multi-million gates) in DFT/DFD techniques such as JTAG/IEEE standards, scan and ATPG, on-chip test pattern compression and at-speed testing using PLL, memory BIST and repair, logic BIST, power-gating, on-chip debug logic, testing of high speed SerDes IO and analog design.
- Understanding various technologies that must work with DFT/DFD technology such as CPU’s, Graphics engines, memory and I/O controllers, etc.
- Expertise in scan compression architecture, scan insertion and ATPG methodologies are essential.
- Working knowledge and experience in Verilog simulator and waveform debugging tools, proficiency in debugging both RTL and gate level simulations
- Experience in solving logic design or timing issues with integration, synthesis and PD teams.
- Good working knowledge of UNIX/Linux and scripting languages (e.g., TCL, c-shell, Perl), C++ programming
- Knowledge in EDA tools/methodology, such as synthesis, equivalency checking, static timing analysis.
- Knowledge of ATE and digital IC manufacturing test is a plus.

**ACADEMIC CREDENTIALS**:

- Bachelor's or master's degree in computer engineering/Electrical Engineering

LI-ST1

Qualifications:

- Benefits offered are described: _AMD benefits at a glance.



  • Bengaluru, Karnataka, India Synopsys Inc Full time

    About This OpportunityWe are seeking a Staff DFT CAD Expert to join our team at Synopsys Inc. As a member of our team, you will be responsible for developing and implementing advanced digital testing solutions for complex electronic systems.The ideal candidate will have a strong background in digital design, testing methodologies, and software development....

  • Dft

    2 weeks ago


    Bengaluru, Karnataka, India Sarvajith Infotech Full time

    Hi All, Now we are looking for DFT Role. Experience: - 15 to 20yrs Sakary: - 35L Hands on experience in various DFT aspects like Scan insertion, MBIST and JTAG, ATPG, Pattern validation at block level as well as Full chip level - Should have good knowledge about all DFT concepts Scan insertion and validation, BIST, LBIST, MBIST insertion and...


  • Bengaluru, India Synopsys Inc Full time

    We are looking for a Solutions Engineer Staff to join the team.Does this sound like a good role for you?Develop Synopsys Silicon Lifecycle Management tool flows, methodologies, and automation to meet test requirements for High volume manufacturing, Production, In-ramp, In-Field etc., that includes Memory BIST, Logic BIST, Scan compression, Boundary scan,...


  • Bengaluru, India Synopsys Inc Full time

    We are looking for a Solutions Engineer Staff to join the team. Does this sound like a good role for you? - Develop Synopsys Silicon Lifecycle Management tool flows, methodologies, and automation to meet test requirements for High volume manufacturing, Production, In-ramp, In-Field etc., that includes Memory BIST, Logic BIST, Scan compression, Boundary...


  • Bengaluru, India Synopsys Inc Full time

    We are looking for a Solutions Engineer Staff to join the team. Does this sound like a good role for you? Develop Synopsys Silicon Lifecycle Management tool flows, methodologies, and automation to meet test requirements for High volume manufacturing, Production, In-ramp, In-Field etc., that includes Memory BIST, Logic BIST, Scan compression, Boundary scan,...


  • Bengaluru, India Synopsys Inc Full time

    We are looking for a Solutions Engineer Staff to join the team. Does this sound like a good role for you? Develop Synopsys Silicon Lifecycle Management tool flows, methodologies, and automation to meet test requirements for High volume manufacturing, Production, In-ramp, In-Field etc., that includes Memory BIST, Logic BIST, Scan compression, Boundary scan,...


  • Bengaluru, India Synopsys Inc Full time

    We are looking for a Solutions Engineer Staff to join the team. Does this sound like a good role for you? Develop Synopsys Silicon Lifecycle Management tool flows, methodologies, and automation to meet test requirements for High volume manufacturing, Production, In-ramp, In-Field etc., that includes Memory BIST, Logic BIST, Scan compression, Boundary scan,...


  • Bengaluru, India Synopsys Inc Full time

    We are looking for a Solutions Engineer Staff to join the team.Does this sound like a good role for you?- Develop Synopsys Silicon Lifecycle Management tool flows, methodologies, and automation to meet test requirements for High volume manufacturing, Production, In-ramp, In-Field etc., that includes Memory BIST, Logic BIST, Scan compression, Boundary scan,...


  • Bengaluru, India Synopsys Inc Full time

    We are looking for a Solutions Engineer Staff to join the team.Does this sound like a good role for you?- Develop Synopsys Silicon Lifecycle Management tool flows, methodologies, and automation to meet test requirements for High volume manufacturing, Production, In-ramp, In-Field etc., that includes Memory BIST, Logic BIST, Scan compression, Boundary scan,...


  • Bengaluru, India Synopsys Inc Full time

    We are looking for a Solutions Engineer Staff to join the team. Does this sound like a good role for you?Develop Synopsys Silicon Lifecycle Management tool flows, methodologies, and automation to meet test requirements for High volume manufacturing, Production, In-ramp, In-Field etc., that includes Memory BIST, Logic BIST, Scan compression, Boundary scan,...

  • Senior DFT Engineer

    6 days ago


    Bengaluru, India Samsung Semiconductor Full time

    Samsung Semiconductor India Research (SSIR) is looking for a bright ASIC design engineer with excellent analytical and technical skills. This role provides opportunity to participate in the ASIC development, with emphasis in DFT, coverage, timing closure and post-silicon diagnosis. The candidate will be involved in all aspects of DFT - top level test pin...


  • Kengeri, Bengaluru, Karnataka, India CAD DESK Full time

    To train Academics and Corporate Professionals in any CAD packages specific to Mechanical and Automobile Engineering. To create customized Contents, Teaching Aids, Projects, Question Bank and Case Studies for the training programs. Diploma/ B.Tech holder with 0-1 year of experience in teaching / training / Core Industry. Strong knowledge in Autocad,...


  • Bengaluru, Karnataka, India Meta Full time

    **ASIC Implementation DFT Responsibilities**: - Develop and implement DFT strategies for mixed-signal ICs, considering factors such as fault coverage, test time, and in-system test. - Ensure compliance with IEEE standards (1149, 1687) for DFT methodologies and test patterns. - Conduct fault simulation and coverage analysis to assess the effectiveness of DFT...

  • Senior DFT Engineer

    3 days ago


    Bengaluru, Karnataka, India Samsung Semiconductor Full time

    Samsung Semiconductor India Research (SSIR) is looking for a bright ASIC design engineer with excellent analytical and technical skills. This role provides opportunity to participate in the ASIC development, with emphasis in DFT, coverage, timing closure and post-silicon diagnosis.The candidate will be involved in all aspects of DFT - top level test pin...


  • Bengaluru, Karnataka, India Synopsys Inc Full time

    We are seeking a highly skilled Solutions Engineer Staff to join our team at Synopsys Inc.About the RoleDevelop cutting-edge methodologies and automation for Synopsys Silicon Lifecycle Management tool flows to meet test requirements in high-volume manufacturing, production, in-ramp, and in-field environments.Create specification and implementation plans...

  • Pe Dft

    4 weeks ago


    Bengaluru, Karnataka, India Rambus Full time

    Overview: **Responsibilities**: The major duties and responsibilities of the position are as follows. - Strong understanding of DFT concepts. - Strong hands-on experience in scan and ATPG for different fault models - Developing constraints for scan insertion and test mode timing closure. - Improving scan and fault coverage and pattern reduction techniques. -...

  • Dft

    2 days ago


    Bengaluru, India Capgemini Engineering Full time

    Job Title: DFT EngineerLocation: BangaloreExperience: 6 to 12 yearsJob Description:We seek a highly skilled DFT Engineer with expertise in test flows for complex So Cs. The ideal candidate should have:- Strong knowledge of DFT techniques including JTAG, ATPG, yield learning, logic diagnosis, scan compression, IJTAG, MBIST/LBIST.- Experience in Tessent-based...

  • DFT Engineers

    3 weeks ago


    Bengaluru, India Modernize Chip Solutions (MCS) Full time

    Job Description: Modernize Chip Solutions (MCS) is seeking an experienced DFT (Design for Testability) Engineer to join our team in Bengaluru. The ideal candidate should have 5+ years of hands-on experience in DFT methodologies, ATPG, and scan insertion, with expertise in Tessent (primary focus) or Cadence tools. Key Responsibilities: - Implement and...

  • DFT Engineers

    4 days ago


    Bengaluru, India Modernize Chip Solutions (MCS) Full time

    Job Description:Modernize Chip Solutions (MCS) is seeking an experienced DFT (Design for Testability) Engineer to join our team in Bengaluru. The ideal candidate should have 5+ years of hands-on experience in DFT methodologies, ATPG, and scan insertion, with expertise in Tessent (primary focus) or Cadence tools.Key Responsibilities:- Implement and optimize...

  • DFT

    4 weeks ago


    Bengaluru, India Capgemini Engineering Full time

    Job Title: DFT EngineerLocation: BangaloreExperience: 6 to 12 yearsJob Description:We seek a highly skilled DFT Engineer with expertise in test flows for complex SoCs. The ideal candidate should have:- Strong knowledge of DFT techniques including JTAG, ATPG, yield learning, logic diagnosis, scan compression, IJTAG, MBIST/LBIST.- Experience in Tessent-based...