Asic Implementation Dft

2 weeks ago


Bengaluru Karnataka, India Meta Full time

**ASIC Implementation DFT Responsibilities**:

- Develop and implement DFT strategies for mixed-signal ICs, considering factors such as fault coverage, test time, and in-system test.
- Ensure compliance with IEEE standards (1149, 1687) for DFT methodologies and test patterns.
- Conduct fault simulation and coverage analysis to assess the effectiveness of DFT strategies and identify areas for improvement.
- Generate high-quality test patterns using automated test pattern generation (ATPG) tools.
- Verify the correctness of DFT implementation through simulation and hardware testing.
- Collaborate with design/implementation teams to ensure that DFT requirements are met throughout the process.

**Minimum Qualifications**:

- Bachelor's degree in Electrical Engineering or Computer Engineering.
- Minimum 5+ years of experience in DFT for mixed-signal ICs.
- Understanding of DFT concepts, including scan insertion, BIST, and boundary scan. In-depth knowledge of DFT EDA tools (Siemens/Synopsys).
- Familiarity with IEEE standards 1149, 1500, and 1687. Experience with fault simulation and coverage analysis tools.
- Hands on with Problem-solving and analytical skills.
- Strong communication skills and experience working effectively with a diverse range of colleagues and partners, to drive impact
- Proficiently use Siemens/Synopsys EDA tools for DFT-related tasks, including MBIST, scan insertion, and test pattern generation.

**Preferred Qualifications**:

- Master's degree in Electrical Engineering or Computer Engineering.
- Experience with mixed-signal DFT methodologies.
- Knowledge of scripting languages (e.g., Perl, Python) for automation.
- Experience with hardware testing and debugging.

**About Meta**: Meta builds technologies that help people connect, find communities, and grow businesses. When Facebook launched in 2004, it changed the way people connect. Apps like Messenger, Instagram and WhatsApp further empowered billions around the world. Now, Meta is moving beyond 2D screens toward immersive experiences like augmented and virtual reality to help build the next evolution in social technology. People who choose to build their careers by building with us at Meta help shape a future that will take us beyond what digital connection makes possible today—beyond the constraints of screens, the limits of distance, and even the rules of physics.

Individual compensation is determined by skills, qualifications, experience, and location. Compensation details listed in this posting reflect the base hourly rate, monthly rate, or annual salary only, and do not include bonus, equity or sales incentives, if applicable. In addition to base compensation, Meta offers benefits. Learn more about benefits at Meta.



  • Bengaluru, Karnataka, India Meta Full time

    We are seeking a highly skilled and experienced DFT Engineer to join our team The ideal candidate will have a strong background in Design for Testability DFT methodologies and implementation with a deep understanding of Siemens Synopsys DFT EDA tools and IEEE standards 1149 1500 1687 The role will involve developing and implementing DFT strategies...


  • Bengaluru, Karnataka, India Samsung Semiconductor Full time

    Digital ASIC Design EngineerSamsung Semiconductor India Research (SSIR) is looking for an expert in digital ASIC design to join our team. As a senior member of our ASIC development team, you will be responsible for designing and implementing DFT solutions for high-speed ASICs, developing and optimizing test plans, and collaborating with cross-functional...

  • ASIC DFT Specialist

    5 days ago


    Bengaluru, Karnataka, India Wipro Full time

    At Wipro, we are seeking a highly skilled ASIC DFT Architect to join our team. In this role, you will be responsible for ensuring that DFT design rules and coverages are met by collaborating with ASIC design teams.You will generate high-quality manufacturing ATPG test patterns for stuck-at (SAF) and transition fault (TDF) models using on-chip test...

  • Asic dft architect

    5 days ago


    Bengaluru, India Wipro Full time

    ASIC DFT Architect : Exp-4 to 12 yearsLocation : Bangalore, Hyderabad, Kochi, PuneKey Responsibilities:- Interface with ASIC design teams to ensure DFT design rules and coverages are met.- Generate high-quality manufacturing ATPG test patterns for stuck-at (SAF), transition fault (TDF) models through the use of on-chip test compression techniques.- MBIST...

  • ASIC DFT Architect

    7 days ago


    Bengaluru, Karnataka, India Wipro Full time

    ASIC DFT Architect : Exp-4 to 12 yearsLocation : Bangalore, Hyderabad, Kochi, PuneKey Responsibilities:Interface with ASIC design teams to ensure DFT design rules and coverages are met.Generate high-quality manufacturing ATPG test patterns for stuck-at (SAF), transition fault (TDF) models through the use of on-chip test compression techniques.MBIST...

  • Asic dft architect

    6 days ago


    Bengaluru, India Wipro Full time

    ASIC DFT Architect : Exp-4 to 12 yearsLocation : Bangalore, Hyderabad, Kochi, PuneKey Responsibilities:Interface with ASIC design teams to ensure DFT design rules and coverages are met.Generate high-quality manufacturing ATPG test patterns for stuck-at (SAF), transition fault (TDF) models through the use of on-chip test compression techniques.MBIST...


  • Bengaluru, Karnataka, India Wipro Full time

    Job DescriptionWe are seeking a highly skilled DFT (Design for Testability) Engineer to join our team at Wipro. The successful candidate will be responsible for ensuring that our ASIC designs meet the required testability and quality standards.Key Responsibilities:Interface with ASIC design teams to guarantee adherence to DFT design rules and...


  • Bengaluru, Karnataka, India Samsung Semiconductor Full time

    Samsung Semiconductor India Research (SSIR) is looking for a bright ASIC design engineer with excellent analytical and technical skills. This role provides opportunity to participate in the ASIC development, with emphasis on Design for Testability (DFT), coverage, timing closure, and post-silicon diagnosis.Key Responsibilities:Participate in all aspects of...


  • Bengaluru, Karnataka, India EInfochips Full time

    About Use Infochips, an Arrow company, is a leading provider of product engineering and semiconductor design services. Our team of experts has a proven track record of delivering high-quality solutions to our clients.Job SummaryWe are seeking a Test Engineer - VLSI to join our team. As a Test Engineer - VLSI, you will be responsible for developing and...


  • Bengaluru, Karnataka, India Wipro Full time

    We are looking for an experienced ASIC DFT Architect to join our team at Wipro. In this role, you will be responsible for designing and implementing DFT architectures for complex ASICs.As a key member of our design team, you will collaborate with ASIC design teams to ensure that DFT design rules and coverages are met. You will also be responsible for...

  • DFT Engineer

    2 days ago


    Bengaluru, Karnataka, India Wipro Full time

    Job DescriptionWe are seeking a skilled DFT Engineer to join our team at Wipro Limited. The ideal candidate will have extensive experience in digital fault tolerance and ASIC design, with a strong background in test development and simulation.


  • Bengaluru, India Prodapt ASIC Services Full time

    About the job:We are seeking a dynamic and experienced Sales Leader with a strong background in the Semiconductor industry working with ASIC/FPGA/IP/SOC/Ethernet/CPU/GPU Chip Design providers. The ideal candidate will have a proven track record in sales and account management, specifically within Chip Design companies, in the Semiconductor industry. This...

  • Lead DFT Specialist

    3 days ago


    Bengaluru, Karnataka, India L&T Technology Services Full time

    L&T Technology Services is a leading provider of engineering and IT services. Our team is responsible for designing and developing cutting-edge technology solutions.About the RoleWe are currently seeking a highly skilled Senior DFT Engineer to join our team. The successful candidate will have 8-15 years of experience in the field of Design for Test (DFT) and...


  • Bangalore, Karnataka, India Amazon Full time

    DESCRIPTIONThe team that built the innovative Silicon IP AZ1 Neural Edge that is powering the latest generation of Echo devices is looking for a Senior DFT Engineer to continue to innovate on behalf of our customers We are a part of Amazon Lab126 that revolutionized reading with our Kindle family of products and re-imagined user experience through Echo and...


  • Bengaluru, Karnataka, India EInfochips Full time

    Job Descriptione Infochips, an Arrow company, is a leading provider of product engineering and semiconductor design services. We are seeking a Senior DFT Engineer to join our team.About the RoleThis role will be responsible for developing comprehensive DFT plans and working with cross-functional teams to implement solutions for scan and built-in self-test...

  • DFT Engineer

    3 days ago


    Bengaluru, India Wipro Full time

    DFT Engineer Exp : 5+ Location : Bangalore Key skills to look for : DFT, ATPG, MBIST, Scan Insertion. Job Title: ASIC DFT Architect : Exp - 5 to 12 years Location : Bangalore Key Responsibilities: Interface with ASIC design teams to ensure DFT design rules and coverages are met. Generate high-quality manufacturing ATPG test patterns for stuck-at (SAF),...

  • DFT Engineer

    5 days ago


    Bengaluru, India Wipro Full time

    DFT Engineer Exp : 5+ Location : Bangalore Key skills to look for : DFT, ATPG, MBIST, Scan Insertion. Job Title: ASIC DFT Architect : Exp - 5 to 12 years Location : Bangalore Key Responsibilities: - Interface with ASIC design teams to ensure DFT design rules and coverages are met. - Generate high-quality manufacturing ATPG test patterns for stuck-at...


  • Bengaluru, Karnataka, India Samsung Semiconductor Full time

    Samsung Semiconductor India Research (SSIR) is seeking a talented Leading DFT Expert to join our team. As a key member of our ASIC development group, you will play a critical role in designing and implementing DFT strategies, optimizing test coverage, and ensuring timely delivery of projects.About the Role:This is an exceptional opportunity to leverage your...


  • Bengaluru, Karnataka, India Samsung Semiconductor Full time

    We are seeking a skilled Senior DFT Engineer to join our team at Samsung Semiconductor India Research (SSIR). The successful candidate will have excellent analytical and technical skills, with a focus on Design for Testability (DFT), coverage, timing closure, and post-silicon diagnosis.About the Role:This is an exciting opportunity to participate in the...

  • DFT Engineer

    1 day ago


    Bengaluru, India Wipro Full time

    DFT Engineer Exp : 5+Location : BangaloreKey skills to look for : DFT, ATPG, MBIST, Scan Insertion.Job Title:ASIC DFT Architect : Exp - 5 to 12 yearsLocation : BangaloreKey Responsibilities:- Interface with ASIC design teams to ensure DFT design rules and coverages are met.- Generate high-quality manufacturing ATPG test patterns for stuck-at (SAF),...