DFT Engineer

4 weeks ago


New Delhi, India ACL Digital Full time

DFT EngineerLocation: BangaloreNotice Period: 30 daysJob Description:We are looking for a skilled DFT Engineer with 3–5 years of experience in ASIC design and verification with a strong focus on Design-for-Test methodologies. You will be responsible for implementing and verifying DFT architectures to ensure high test coverage and manufacturability.Key Responsibilities:- Develop and implement DFT architecture including scan insertion, ATPG, MBIST, and boundary scan. - Work on RTL design modifications for DFT features. - Generate and validate test vectors using tools like Tetramax/Fastscan. - Run gate-level simulations and debug test coverage issues. - Perform pattern validation on emulation/silicon platforms. - Collaborate with RTL, PD, and validation teams for seamless DFT integration.Required Skills:- Strong knowledge of DFT concepts: scan, compression, boundary scan, ATPG, BIST (LBIST/MBIST). - Experience with tools such as Synopsys DFT Compiler, Tetramax, Mentor Tessent. - Scripting skills in Python, Perl, or TCL for automation. - Familiarity with STA, synthesis, and timing constraints. - Good understanding of RTL-to-GDS flow.Good to Have:- Exposure to automotive or high-reliability designs. - Experience with silicon bring-up and pattern debug.About Company:ACL Digital, a leader in digital engineering and transformation, is part of the ALTEN Group. At ACL Digital, we empower organizations to thrive in an AI-first world. Our expertise spans the entire technology stack, seamlessly integrating AI and data-driven solutions from Chip to cloud. By choosing ACL Digital, you gain a strategic advantage in navigating the complexities of digital transformation. Let us be your trusted partner in shaping the future.


  • DFT Engineer

    3 weeks ago


    New Delhi, India Canvendor Full time

    #Urgent_Opening_for_Canvendor#Hiring: DFT Engineer (3+ Years Experience) |Bangalore| Immediate Joiners PreferredLocation: Bangalore, IndiaExperience: 3-8 YearsNotice period: Immediate to 30daysMandatory: DFT, ATPG, Scan Insertion, EDA Tools#Key_Requirements:- DFT Fundamentals including JTAG, Scan, ATPG, IEEE 1687 iJTAG, EDT Architecture - Scan Insertion...

  • DFT Engineer

    4 weeks ago


    New Delhi, India ScaleFlux Full time

    Job Title: DFT LeadLocation: Bangalore, Karnataka, India.Company and Candidature Brief:At ScaleFlux, we are a family unit powered by diversity, inclusion, transparency, respect,integrity, and passion—for both our clients and our people. Our business growth depends onyour professional development, as we collaborate, share ideas and innovations, and invest...

  • DFT Engineer

    4 weeks ago


    New Delhi, India UST Full time

    The DFT Engineer will focus on developing and implementing Design for Test strategies and techniques to test the complex IoT products which has WIFI & Blue tooth combo devices. He will work closely with design and backend, verification teams to ensure robust testing mechanisms and improve overall product quality and reliability. Responsibilities Develop and...

  • DFT Engineer

    3 weeks ago


    New Delhi, India ScaleFlux Full time

    Job Title:DFT Lead Location:Bangalore, Karnataka, India.Company and Candidature Brief: At ScaleFlux, we are a family unit powered by diversity, inclusion, transparency, respect, integrity, and passion—for both our clients and our people. Our business growth depends on your professional development, as we collaborate, share ideas and innovations, and invest...

  • Senior DFT Engineer

    3 weeks ago


    New Delhi, India L&T Technology Services Full time

    L&T Technology is hiring for Senior DFT Engineers / Lead DFT Engineer with 8-15 Years of experience.Job Location : BangaloreSkills Expertise should be : ATPG, SOC, ASIC DFT.

  • Lead DFT

    3 weeks ago


    New Delhi, India Angel and Genie Full time

    Role: DFT LeadExperience:10+ yearsLocation: BangaloreSalary: Can be discussedJob DescriptionWe are looking for an energetic, passionate and process oriented DFT Leads who has extensive experience in planning, implementation and verification of DFT features for multiple SoC.Direct Responsibilities of the role, but not limited to,- working on various aspects...

  • Lead DFT

    2 weeks ago


    New Delhi, India Angel and Genie Full time

    Role: DFT Lead Experience:10+ years Location: Bangalore Salary: Can be discussedJob Description We are looking for an energetic, passionate and process oriented DFT Leads who has extensive experience in planning, implementation and verification of DFT features for multiple SoC. Direct Responsibilities of the role, but not limited to, working on various...

  • Lead DFT Engineer

    4 weeks ago


    New Delhi, India eInfochips (An Arrow Company) Full time

    We are hiring for DFT Engineers. Experience- 5+ years Location-Bangalore, Ahmedabad, Hyderabad, NoidaEducational Qualification(s) BE/ME *Detailed Description of the Job Profile • Incumbent will be responsible for Scan insertion and validation, BIST, MBIST insertion and validation, ATPG, IP tests and Pattern Validation w/wo Timing, DFT mode timing analysis...

  • DFT Manager

    3 days ago


    New Delhi, India Mulya Technologies Full time

    GLOBAL MNC:Location: Greater Bengaluru Area Our main business focuses on automotive microcontrollers and SoCs. The solutions cover a wide range, such as Edge-ECU to ADAS applications, dedicated to creating a comprehensive solution for automotive chips. It will continue to integrate the latest electronic and electrical architecture (E/EA) designs from...

  • DFT Engineer

    3 days ago


    New Delhi, India Tessolve Full time

    Position: DFT Engineers Experience: 3+ relevant experience. Location - IndiaTo Be Successful In This Role You WillSeeking highly motivated, energetic, team-oriented Individual contributors willing to take the challenge of delivering of complex IPs using the latest advance Design for Test skills and Tools .Technical Skillset RequiredGood knowledge in DFT...