Tessolve | Lead Physical Design Engineer | bangalore

3 weeks ago


bangalore, India Tessolve Full time

Hi,

I am excited to announce that #Tessolve is hiring for Experienced candidates to join our dynamic team in #Bangalore and #Chennai

Responsibilities:
Experience in leading & managing the physical design team to deliver high-quality physical design solutions for complex ASIC/SOCs.
Experience in the entire physical design flow: floor planning, placement, clock tree synthesis (CTS), routing, and physical verification.
Experience working & collaborating with cross-functional teams, including RTL design, verification, and DFT, to ensure seamless integration and successful tape-out.
Experience in Optimizing design for performance, power, and area (PPA) while meeting project schedules and milestones.
Conduct design reviews and provide technical guidance to team members.
Capable of Identifying and resolving design issues and bottlenecks to ensure timely project delivery.
Must be Able to Mentor and train junior engineers to enhance their skills and knowledge.

Qualifications:
Bachelor’s or master’s degree in electrical engineering, Computer Engineering, or a related field.
Minimum 10+ years of experience in physical design, with a proven track record of successful tape-outs.
Strong expertise in physical design tools such as Cadence, Synopsys, or Mentor Graphics.
Good knowledge of physical design methodologies, including floor planning, placement, CTS, routing, and physical verification.
Experience with advanced process nodes (e.g., 7nm, 5nm, 3nm) desirable.
Excellent problem-solving skills and attention to detail.
Strong leadership and team management skills.
Effective communication and collaboration skills.



  • bangalore, India Tessolve Full time

    Senior Physical Design Engineer: Experience: 5 years to 12 years relevant experience. Location – Bangalore Tessolve Semiconductors a venture of Hero Electronix , part of $5B Hero Group companies a Design and Test Engineering Service Company providing End to End Solutions from Product Engineering, Software, Hardware, Wireless, Automotive and...


  • bangalore, India Tessolve Full time

    Job Description: Leading DFT ATPG implementation, integration and verification of System-on-Chip (SoC) from initial specification till tapeout and production. Ensure Test Coverage Goals are met at SoC Level. Addressing test quality targets in DFT architecture and test pattern generation. Leading various aspects of Test architecture including Scan&ATPG, and...


  • bangalore, India Tessolve Full time

    Job Description:Leading DFT ATPG implementation, integration and verification of System-on-Chip (SoC) from initial specification till tapeout and production.Ensure Test Coverage Goals are met at SoC Level.Addressing test quality targets in DFT architecture and test pattern generation.Leading various aspects of Test architecture including Scan&ATPG, and...


  • bangalore, India Tessolve Full time

    JOB DESCRIPTIONTitle/Position: Design Verification Engineers/Leads/ManagerLocation: Bangalore, Hyderabad, Noida, Chennai, CoimbatorePlease share the CV to chandanaliz.kuruvila@tessolve.comDesign Verification Engineers/Leads/ManagerTechnical Skillset Required:IP verification Using SV/UVMSOC Verification using C/SVVIP IntegrationInterconnect Protocols: AHB,...


  • bangalore, India Tessolve Full time

    Hi All, we are hiring RTL Engineer with Tessolve semiconductors - Bangalore Location JD: Design RTL ASIC Engineer Location: Bangalore,HYD and Noida Experience :- 5 to 20 Years Experience and Skills Required 5 to 20 years of experience in SoC/IP Design. Expertise in Writing Detailed IP Specifications, Micro Architecture, IP design, Subsystem and SoC level...


  • Bangalore, India Tessolve Full time

    Hi, I am excited to announce that #Tessolve is hiring for Experienced candidates to join our dynamic team in #Bangalore and #Chennai! Responsibilities: Experience in leading & managing the physical design team to deliver high-quality physical design solutions for complex ASIC/SOCs. Experience in the entire physical design flow: floor planning,...


  • bangalore, India Tessolve Full time

    Hi,I am excited to announce that #Tessolve is hiring for Experienced candidates to join our dynamic team in #Bangalore!Experience in handling Synthesis and Pre layout Static Timing Analysis for block.Power-Aware Synthesis flow using Design compiler and Fusion Compiler.Experience into Physical Aware synthesis flow using Design Compiler and Fusion Compiler...


  • bangalore, India Tessolve Full time

    Hi, I am excited to announce that #Tessolve is hiring for Experienced candidates to join our dynamic team in #Bangalore! Experience in handling Synthesis and Pre layout Static Timing Analysis for block. Power-Aware Synthesis flow using Design compiler and Fusion Compiler. Experience into Physical Aware synthesis flow using Design Compiler and Fusion Compiler...


  • bangalore, India Tessolve Full time

    Greetings From Tessolve Semiconductors. A widely leading core semiconductor company in world wide. HIRING!.. TESSOLVE SEMICONDUCTORS PVT LTD DFT REQUIREMENT – 2024 Location – Bangalore, USA, Canada, Malaysia Experience – 5+ years to 20 years We're hiring highly skilled DFT Engineers for all the DFT Techniques (ATPG, SCAN, JTAG, MBIST ). if you're...


  • bangalore, India Tessolve Full time

    hello Connections!! Immediate hiring!!! Tessolve Semiconductors is hiring for below positions Position: Sr.Design Verification Engineer-Lead and above Experience: 8+ years to 20 years Location - Bangalore/Hyderabad/Noida Notice period: immediate to 30 days most preferable. Position Description: • To be part of a highly skilled ASIC Team working on the...


  • bangalore, India Tessolve Full time

    Hi All, we are hiring RTL Engineer with Tessolve semiconductors - Bangalore, Hyderabad and Malaysia.Please find the below JD.JD 1:Design RTL ASIC EngineerLocation: MalaysiaExperience :- 5 to 8 YearsSkills : RTL ASIC, integration , PCIE (Mandatory skills )Experience and Skills Required5 to 8 years of experience in SoC/IP Design.Expertise in Writing Detailed...


  • bangalore, India Tessolve Full time

    Hi All, we are hiring RTL Engineer with Tessolve semiconductors - Bangalore, Hyderabad and Malaysia. Please find the below JD. JD 1: Design RTL ASIC Engineer Location: Malaysia Experience :- 5 to 8 Years Skills : RTL ASIC, integration , PCIE (Mandatory skills ) Experience and Skills Required 5 to 8 years of experience in SoC/IP Design. Expertise in...


  • bangalore, India Tessolve Full time

    Job Description:- Leading DFT ATPG implementation, integration and verification of System-on-Chip (SoC) from initial specification till tapeout and production.- Ensure Test Coverage Goals are met at SoC Level.- Addressing test quality targets in DFT architecture and test pattern generation.- Leading various aspects of Test architecture including Scan&ATPG,...


  • bangalore, India Tessolve Full time

    Job Description: - Leading DFT ATPG implementation, integration and verification of System-on-Chip (SoC) from initial specification till tapeout and production. - Ensure Test Coverage Goals are met at SoC Level. - Addressing test quality targets in DFT architecture and test pattern generation. - Leading various aspects of Test architecture including...


  • bangalore, India Tessolve Full time

    Job Description: Leading DFT ATPG implementation, integration and verification of System-on-Chip (SoC) from initial specification till tapeout and production. Ensure Test Coverage Goals are met at SoC Level. Addressing test quality targets in DFT architecture and test pattern generation. Leading various aspects of Test architecture including Scan&ATPG, and...


  • Bangalore, India Tessolve Full time

    Job Description: Leading DFT ATPG implementation, integration and verification of System-on-Chip (So C) from initial specification till tapeout and production. Ensure Test Coverage Goals are met at So C Level. Addressing test quality targets in DFT architecture and test pattern generation. Leading various aspects of Test architecture including...


  • bangalore, India Tessolve Full time

    Job Description:Leading DFT ATPG implementation, integration and verification of System-on-Chip (SoC) from initial specification till tapeout and production.Ensure Test Coverage Goals are met at SoC Level.Addressing test quality targets in DFT architecture and test pattern generation.Leading various aspects of Test architecture including Scan&ATPG, and...


  • bangalore, India Tessolve Full time

    About TessolveTessolve is a global leader in semiconductor and embedded engineering services, providing comprehensive solutions from silicon to systems. With over 3,000 employees worldwide, Tessolve offers turnkey ASIC solutions, advanced silicon testing, and end-to-end embedded product design. Leveraging expertise in technologies like 5G, mmWave, Silicon...


  • bangalore, India Tessolve Full time

    Job Description: Leading DFT ATPG implementation, integration and verification of System-on-Chip (SoC) from initial specification till tapeout and production. Ensure Test Coverage Goals are met at SoC Level. Addressing test quality targets in DFT architecture and test pattern generation. Leading various aspects of Test architecture including Scan&ATPG, and...


  • Bangalore, India Tessolve Full time

    JOB DESCRIPTION Title/Position: Design Verification Engineers/Leads/Manager Location: Bangalore, Hyderabad, Noida, Chennai, Coimbatore Please share the CV to Design Verification Engineers/Leads/Manager Technical Skillset Required: IP verification Using SV/UVM SOC Verification using C/SV VIP Integration Interconnect Protocols: AHB,...