ASIC SOC RTL Design Lead

1 week ago


Kolkata, India Eximietas Design Full time

Hi All, Greetings' from Eximietas Design.... We are Hiring ASIC SOC RTL Design Engineer/Leads. Job Title: ASIC SOC RTL Design Engineer/Leads.. Experience: 10+ Years. Location: Bengaluru or Visakhapatnam or San Jose, Bay Area, & Austin, USA. Anyone with a Valid H1B or Already in US. Job Description: Eximietas Design is seeking an experienced and highly skilled ASIC SOC RTL Design to join our growing team. As a key contributor, you will play a critical role in defining and implementing the microarchitecture of cutting-edge semiconductor designs. You will work on complex RTL design challenges, collaborate with cross-functional teams, and contribute to the delivery of high-performance, power-efficient, and innovative solutions. Key Responsibilities: - Define and develop microarchitecture specifications for complex SoC designs. - Lead RTL design and implementation using Verilog/SystemVerilog, ensuring optimal performance, power, and area (PPA). - Collaborate with system architects, verification teams, and physical design teams to ensure successful project execution. - Perform design trade-off analysis to meet functional, performance, and power requirements. - Develop and implement design methodologies to improve efficiency and quality. - Mentor and guide junior engineers, fostering a culture of innovation and excellence. - Participate in design reviews, provide technical leadership, and ensure adherence to project timelines. Qualifications: - 10+ years of hands-on experience in RTL design and microarchitecture development. - Strong expertise in RTL design using Verilog/SystemVerilog and logic synthesis. - Proficiency in microarchitecture design for complex SoCs, including pipelining, caching, and memory subsystems. - Experience with low-power design techniques (e.g., clock gating, power gating, multi-Vt optimization). - Familiarity with advanced process nodes and their specific challenges (e.g., finFET, multi-patterning). - Strong scripting skills in Tcl, Python, or Perl for automation and flow development. - Excellent problem-solving skills and attention to detail. - Strong communication and leadership skills. What We Offer: - Opportunity to work on cutting-edge semiconductor designs and innovative technologies. - Collaborative and inclusive work environment. - Competitive compensation and benefits package. - Professional growth and development opportunities. 📨 Interested? Please share your updated resume with us at: 📧 maruthiprasad.e@eximietas.design. Referrals are greatly appreciated—please feel free to forward this within your network... Best regards, Maruthy Prasaad Associate VLSI Manager - Talent Acquisition | Visakhapatnam Eximietas Design maruthiprasad.e@eximietas.design +91 8088969910.



  • kolkata, India beBeeRTL Full time

    Design and Deliver High-Performance SoCsWe are seeking a seasoned Senior RTL Design Engineer to join our team. As a key member, you will be responsible for designing and integrating complex System-on-Chip (SoC) architectures.Key ResponsibilitiesDevelop and integrate advanced SoCs using Verilog/System-VerilogPrior experience in multi-clock designs,...


  • kolkata, India beBeeDigitalTest Full time

    Senior Digital Test EngineerWe are seeking an experienced engineer to lead the development of digital test architecture and implementation for complex System-on-Chip (SoC) designs. As a leader, you will mentor junior engineers, collaborate with cross-functional teams, and ensure world-class testability and manufacturability of silicon products.Develop and...


  • kolkata, India beBeeExpert Full time

    Creative RTL Design ExpertWe're seeking a skilled creative to collaborate with researchers on cutting-edge semiconductor projects.Develop innovative RTL design and verification problems that mirror industry complexity and best practices.Become proficient in containerized environments for agent training tasks in hardware design workflows.Work directly with AI...


  • kolkata, India beBeeDftengineer Full time

    About the RoleWe are seeking an experienced DFT Engineer to lead our DFT architecture, planning, and implementation across complex SoC/ASIC designs.


  • kolkata, India beBeeEmulation Full time

    **Emulation Lead Role**We are seeking a highly skilled Emulation Engineer to join our team.The ideal candidate will have a strong background in electrical or electronics engineering and at least 4 years of experience in emulation.The successful candidate will be responsible for developing and bringing up emulation models for various platforms, including...


  • kolkata, India beBeeVerification Full time

    Senior Verification Expert - SoC DesignThis is a unique opportunity to lead product definition, validation and customer engagement. As a key team member, you will be responsible for defining detailed feature requirements, creating and executing verification plans, and working with multi-functional and distributed teams to drive issues and features to...


  • kolkata, India beBeeDftEngineer Full time

    Key Responsibilities:    Define and drive digital fault tolerance (DFT) strategy and architecture for multiple complex system-on-chip (SoC) projects.    Lead the implementation and verification of DFT features, including scan insertion and compression, automatic test pattern generation (ATPG), memory built-in self-test (MBIST), logic built-in...


  • Kolkata, India Eximietas Design Full time

    Eximietas is Hiring – Senior Physical Design Architects.Experience: 15+ YearsLocations:Bengaluru, IndiaVisakhapatnam, IndiaSan Jose (Bay Area), USAAustin, USAEligibility (US Roles): Valid H1B or candidates already in the U.S.About the Role:We are looking for highly experienced Senior Physical Design Leads/Managers to collaborate with top-tier...

  • Soc Specialist

    1 week ago


    Kolkata, West Bengal, India Som Imaging Informatics Full time ₹ 12,00,000 - ₹ 36,00,000 per year

    SOC 2 & ISO 27001 SpecialistLocation: Kolkata | Mode: Work from Office / Remote | Shift: US HoursAbout the RoleWere seeking a detail-oriented Information Security & Compliance Specialist to support and strengthen our SOC 2 and ISO 27001 initiatives. The ideal candidate will have hands-on experience in security monitoring, compliance audits, and...


  • kolkata, India beBeeVerification Full time

    Senior Design Verification EngineerPosition - Senior DV Engineer.Location - Bengaluru, Hyderabad, PuneThe successful candidate will lead SOC Design Verification efforts for complex projects, ensuring the efficient execution of verification plans and delivering high-quality results.About the RoleDevelop and implement comprehensive verification strategies,...