Senior Physical Design Specialist
7 days ago
eInfochips (An Arrow Company) is seeking a highly skilled Senior Physical Design Specialist to join our team. As a key member of our design team, you will be responsible for delivering complex SoCs from RTL-to-GDSII.
About the RoleWe are looking for an experienced physical design engineer with strong fundamentals in floorplanning, power grid analysis, placement, cts, routing, DRC-LVS closure, timing closure, and signal integrity on 65nm, 45nm, 28nm, and 16nm geometry.
Key Responsibilities:- Deliver full chip implementation of complex SoCs from RTL-to-GDSII.
- Closing STA timing across all corners and modes for blocks and generating ECO independently.
- Work with design teams for closing CTS, IO timing, and DFT timing.
- Responsible for digital design automation, flow-automation, and regression across RTL-to-GDSII.
To be successful in this role, you should have a strong technical background and excellent communication skills. You should be able to work independently and collaboratively as part of a team.
Essential Skills:- Strong fundamentals on physical design including floorplan, power grid analysis, placement, cts, routing, DRC-LVS closure, timing closure, and signal integrity on 65nm, 45nm, 28nm, and 16nm geometry.
- Sound expertise in Tcl, Perl, and Shell scripting.
- Hands-on experience with Place and Route tools (Synopsys - ICC, Cadence – Innovus / Encounter).
B.E./ B.S./ B.Tech/ M.S./ M.Tech in VLSI/Electronics/Electrical/Computer/Instrumentation Engineering.
-
Noida, Uttar Pradesh, India LeadSoc Technologies Pvt Ltd Full timeAbout the Role:We are seeking an experienced physical design specialist to join our team. As a key member of our design team, you will be responsible for designing and developing physical designs for automotive systems. Your expertise in STA and SCA requirements will be highly valued.What You'll Do:Design and develop physical designs for complex electronic...
-
Senior Physical Designer
20 hours ago
Noida, Uttar Pradesh, India Synopsys Inc Full timeKey ResponsibilitiesPhysical design RTL2GDS implementation of complex IPs, testchips, and subsystemsBuilding, mentoring, and leading the design team in different physical design technologies for advanced technology nodesManage a team of highly skilled engineers and drive them to deliver in aggressive benchmark situations
-
Senior Physical Design Lead
5 days ago
Noida, Uttar Pradesh, India 7Rays Semiconductors India Private Limited Full timeAbout 7Rays Semiconductors India Private LimitedWe are a leading provider of end-to-end custom SoC design solutions, ranging from SoC architecture to physical design and analog design. Our team of experts collaborates closely with clients to deliver high-quality solutions tailored to their needs.With a strong engineering team and a proven track record of...
-
Senior Physical Design Engineer
5 days ago
Noida, Uttar Pradesh, India 7Rays Semiconductors India Private Limited Full time5 to 10 years relevant experience Lead with experience in So C Physical design across multiple technology nodes including 5nm for TSMC & Other foundries.Excellent hands-on P&R skills with expert knowledge in ICC/Innovus Expert knowledge in all aspects of PD from Synthesis to GDSII, Strong background in Floorplanning, Placement, CTS, Routing, P&R, Extraction,...
-
Physical Design Engineer
4 weeks ago
Noida, Uttar Pradesh, India SILCOSYS Solutions Pvt. Ltd. Full timeJob DescriptionCompany DescriptionA prominent MNC with operations in Noida is actively seeking candidates with at least 5 year of experience for a position in the field of Physical Design Engineer.Experience : 5 Years and AboveLocation : NoidaNotice Period : 0 - 30 DaysRole DescriptionThis is a full-time on-site role in Noida, for a Physical Design Engineer...
-
Senior Engineer, Physical Design
3 weeks ago
Noida, Uttar Pradesh, India Renesas Electronics Full timeJob DescriptionWe are looking for a highly skilled and experienced Physical Design Lead to join our VLSI team. The ideal candidate will have a strong background in physical design and a deep understanding of the VLSI design flow. This role involves leading a team of engineers and working closely with cross-functional teams to ensure the successful...
-
Physical Design Engineer
4 weeks ago
Noida, Uttar Pradesh, India THRIVEFORCE PRIVATE LIMITED Full timeWe are seeking a skilled and motivated Physical Design Engineer to join our team and contribute to the design and implementation of cutting-edge semiconductor chips. The ideal candidate will have expertise in digital physical design flows, with hands-on experience using Cadence Innovus tools for floorplanning, placement, routing, and timing closure.Key...
-
Physical Design Engineer
3 weeks ago
Noida, Uttar Pradesh, India THRIVEFORCE PRIVATE LIMITED Full timeWe are seeking a skilled and motivated Physical Design Engineer to join our team and contribute to the design and implementation of cutting-edge semiconductor chips. The ideal candidate will have expertise in digital physical design flows, with hands-on experience using Cadence Innovus tools for floorplanning, placement, routing, and timing closure.Key...
-
Senior Physical Design Engineer
3 weeks ago
Noida, Uttar Pradesh, India Microsoft Full timeOverview Microsoft's Cloud Compute Development Organization (CCDO) is seeking passionate, driven and intellectually curious engineers to join our silicon hardware physical design team, covering RTL to GDS methodology, design convergence, and design quality for our projects. We are responsible for delivering cutting-edge, custom IP and SOC designs that...
-
Physical Design Engineer
3 weeks ago
Noida, Uttar Pradesh, India Cambio Consulting Pvt Ltd Full timeHi, Greetings, Hope you are doing good. We are Looking to Hire Physical Design--For Hyderabad/Bangalore/Noida And Pune Locations Job Description : • Experience in all aspects of Physical Design Place & Route implementation • The roles and responsibilities of engineer to develop expertise across the entire spectrum of RTL2GDSII Implementation...
-
Leading Physical Designer
5 days ago
Noida, Uttar Pradesh, India 7Rays Semiconductors India Private Limited Full timeAbout 7Rays Semiconductors India Private LimitedWe are a leading provider of end-to-end custom SoC design solutions, ranging from SoC architecture to physical design and analog design. Our team of experts collaborates closely with clients to deliver high-quality solutions tailored to their needs.We take pride in our strong engineering team and our proven...
-
Physical Design Engineer
7 days ago
Noida, Uttar Pradesh, India eInfochips (An Arrow Company) Full timeDesignation: Physical Design Engineer Location: Noida only Experience required: 4+ relevant Notice Period: 0-30 Days (Immediate Joiners Preferred) ROLE & RESPONSIBILITIES Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna...
-
Physical Design Engineer
17 hours ago
Noida, Uttar Pradesh, India eInfochips (An Arrow Company) Full timeDesignation: Physical Design Engineer Location: Noida only Experience required: 4+ relevant Notice Period: 0-30 Days (Immediate Joiners Preferred) ROLE & RESPONSIBILITIES Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna...
-
Senior Hardware Design Specialist
6 days ago
Noida, Uttar Pradesh, India HCLTech Full timeHCLTech is seeking a highly skilled Senior Hardware Design Specialist to join our team. As a key member of our engineering department, you will be responsible for designing and developing innovative hardware solutions.
-
Physical Design Engineer
2 weeks ago
Noida, Uttar Pradesh, India eInfochips (An Arrow Company) Full timeDesignation: Physical Design EngineerLocation: Noida onlyExperience required: 4+ relevantNotice Period: 0-30 Days (Immediate Joiners Preferred)ROLE & RESPONSIBILITIESEngineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna fixing...
-
Cloud Computing Specialist
3 days ago
Noida, Uttar Pradesh, India Talent Acquisition Specialist Full timeWe are looking for a highly skilled Cloud Computing Specialist to join our team. In this role, you will be responsible for designing and implementing cloud-based solutions using cutting-edge technologies such as AWS and Databricks.Company OverviewOur company is a leading provider of data engineering services, dedicated to helping businesses unlock the full...
-
Physical Design Engineer/ Lead
3 weeks ago
Noida, Uttar Pradesh, India eInfochips (An Arrow Company) Full timePhysical Design Lead We are seeking a highly experienced Physical Design Lead to join our team at {company} . Job Summary: The Physical Design Lead will lead the implementation of physical design tasks from RTL to GDSII or Netlist to GDSII. The ideal candidate will have 4 to 12 years of hands-on experience in physical design, strong technical skills, and...
-
ASIC Physical Design, Manager
1 day ago
Noida, Uttar Pradesh, India Synopsys Inc Full timeJob DescriptionSeeking a highly motivated & talented individual for Physical Design Manager role, with expertise in physical implementation for a group with growth opportunities. In this role, you will be responsible for the Physical Implementation of high speed interface IPs, test-chips and subsystems driving all aspects from RTL to GDS including timing and...
-
Physical Design Lead
2 days ago
Noida, Uttar Pradesh, India NXP Semiconductors Full timeR&D Team Member Job DescriptionNXP Semiconductors is a dynamic and innovative company that requires talented individuals to join our R&D team. In this role, you will be responsible for developing and defining Physical Design methodologies for System-on-Chip (SoC) and Intellectual Property (IP) level objectives.Key Responsibilities:Define Physical Design...
-
Physical Design Engineer
4 weeks ago
Noida, Uttar Pradesh, India eInfochips (An Arrow Company) Full timeDesignation: Physical Design EngineerLocation: Noida onlyExperience required: 4+ relevantNotice Period: 0-30 Days (Immediate Joiners Preferred)ROLE & RESPONSIBILITIES Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna fixing...