Current jobs related to Senior Physical Design Engineer - bangalore - Ignitarium
-
Senior Physical Design Engineer
1 day ago
bangalore, India Eximietas Design Full timeJob Title: Physical design ImplementationLocation: BangloreJob Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in oursemiconductor design team. As a PD Implementation Engineer , you will development andimplementation of cutting-edge physical design methodologies and flows for complex ASIC designs,and did block level...
-
Physical Design Engineer
5 days ago
bangalore, India Eximietas Design Full timeTitle: Physical Design EngineerExp:5Description:Hands-on experience in full-chip physical design.Strong expertise in EDA tools such as Cadence Innovus, Synopsys ICC2/Fusion Compiler, or equivalent.Proficiency in timing analysis and optimization using tools like PrimeTime.Experience with physical verification tools (e.g., Calibre, ICV) and signoff...
-
Senior Physical Design Engineer
3 days ago
Bangalore Urban, India Eximietas Design Full timeJob Title: Physical design Implementation Location: Banglore Job Overview: We are seeking an exceptional PD Implementation Engineer to take a key role in our semiconductor design team. As a PD Implementation Engineer , you will development and implementation of cutting-edge physical design methodologies and flows for complex ASIC designs, and did block level...
-
Physical Design Engineer
7 days ago
Bangalore Urban, India Eximietas Design Full timeTitle: Physical Design EngineerExp:5Description:Hands-on experience in full-chip physical design.Strong expertise in EDA tools such as Cadence Innovus, Synopsys ICC2/Fusion Compiler, or equivalent.Proficiency in timing analysis and optimization using tools like PrimeTime.Experience with physical verification tools (e.g., Calibre, ICV) and signoff...
-
Senior Physical Design Leads
5 days ago
bangalore, India Eximietas Design Full timeHi All, Eximietas Hiring Physical Design Engineers/Leads for Bangalore Location. Conduct all aspects of the physical design flow, including synthesis, place and route, clock tree synthesis, floor planning, static timing analysis, power/clock distribution, reliability and power analysis. Possess expertise in structural and physical design aspects, including...
-
Senior Physical Design Engineer
2 weeks ago
Bangalore, India eInfochips (An Arrow Company) Full timeJob Role: Physical Design Engineer- Senior/Lead Location: Bangalore, Hyderabad, Noida and Ahmedabad Experience Required: 5+ ROLE & RESPONSIBILITIES - Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna fixing &signal...
-
Physical Design Engineer
6 days ago
Bangalore, India eInfochips (An Arrow Company) Full timeJOB DESCRIPTION, ROLE & RESPONSIBILITES POSITION TITLE: Senior Engineer/Engineer – Physical Design LOCATION: Noida/ Bangalore/ Hyderabad/ Ahmedabad ROLE & RESPONSIBILITIES • Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT,...
-
Senior Physical Design Engineer
1 week ago
Bangalore Urban, India eInfochips (An Arrow Company) Full timeJob Role: Physical Design Engineer- Senior/LeadLocation: Bangalore, Hyderabad, Noida and AhmedabadExperience Required: 5+ROLE & RESPONSIBILITIESEngineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna fixing &signal integrity, Power...
-
Senior Physical Design Engineer
1 week ago
Bangalore Urban, India eInfochips (An Arrow Company) Full timeJob Role: Physical Design Engineer- Senior/LeadLocation: Bangalore, Hyderabad, Noida and AhmedabadExperience Required: 5+ROLE & RESPONSIBILITIESEngineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna fixing &signal integrity, Power...
-
Physical Design Engineer
10 hours ago
bangalore, India eInfochips (An Arrow Company) Full timeJOB DESCRIPTION, ROLE & RESPONSIBILITESPOSITION TITLE: Senior Engineer/Engineer – Physical DesignLOCATION: Noida/ Bangalore/ Hyderabad/ AhmedabadROLE & RESPONSIBILITIES• Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna...
-
Physical Design Engineer
2 weeks ago
Bangalore, India UST Full timeJob Title: Physical Design (PD) Engineer/ Senior PD Engineer/ Lead PD Engineer Company: UST Global Location: Bangalore Experience Level: 4+ years, 7+ years, 10+ years Job Description: UST Global is seeking a skilled Physical Design (PD) Engineer to drive advanced RTL-to-GDSII implementation for cutting-edge semiconductor projects. The ideal...
-
Physical Design Engineer
1 week ago
Bangalore Division, India UST Full timeJob Title: Physical Design (PD) Engineer/ Senior PD Engineer/ Lead PD Engineer Company: UST Global Location: Bangalore Experience Level: 4+ years, 7+ years, 10+ years Job Description: UST Global is seeking a skilled Physical Design (PD) Engineer to drive advanced RTL-to-GDSII implementation for cutting-edge semiconductor projects. The ideal candidate will...
-
Physical Design Engineer
1 week ago
Bangalore Division, India UST Full timeJob Title: Physical Design (PD) Engineer/ Senior PD Engineer/ Lead PD Engineer Company: UST Global Location: Bangalore Experience Level: 4+ years, 7+ years, 10+ years Job Description: UST Global is seeking a skilled Physical Design (PD) Engineer to drive advanced RTL-to-GDSII implementation for cutting-edge semiconductor projects. The ideal candidate will...
-
Senior Physical Design Engineer
1 week ago
Bangalore Urban, India eInfochips (An Arrow Company) Full timeJob Role: Physical Design Engineer- Senior/Lead Location: Bangalore, Hyderabad, Noida and Ahmedabad Experience Required: 5+ ROLE & RESPONSIBILITIES Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna fixing &signal...
-
Senior Physical Design Engineer
1 week ago
Bangalore Urban, India eInfochips (An Arrow Company) Full timeJob Role: Physical Design Engineer- Senior/LeadLocation: Bangalore, Hyderabad, Noida and AhmedabadExperience Required: 5+ROLE & RESPONSIBILITIES Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna fixing &signal integrity,...
-
Senior Physical Design Engineer
1 week ago
Bangalore Urban, India eInfochips (An Arrow Company) Full timeJob Role: Physical Design Engineer- Senior/Lead Location: Bangalore, Hyderabad, Noida and Ahmedabad Experience Required: 5+ ROLE & RESPONSIBILITIES Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna fixing &signal...
-
Senior Physical Design Engineer/Lead/Manager
4 weeks ago
Bangalore, India Adecco India Full timeWe have an urgent opening in Semiconductor service based company Role - Senior Physical Design and Senior Physical Design Manager Location : BangaloreMinimum Experienced - 6 Years Job Type : Full-TimePayroll : Direct PayrollCTC is open For Manager roles, a minimum of 8+ years of relevant PD experience is required. Job Summary :We are seeking a highly...
-
Physical Design Engineer
1 day ago
bangalore, India eInfochips (An Arrow Company) Full timeJOB DESCRIPTION, ROLE & RESPONSIBILITES POSITION TITLE: Senior Engineer/Engineer – Physical Design LOCATION: Noida/ Bangalore/ Hyderabad/ Ahmedabad ROLE & RESPONSIBILITIES • Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT,...
-
Senior Physical Design Engineer
6 days ago
bangalore, India Tessolve Full timeHi,Thrilled to announce that Tessolve is hiring for below positions.Position: Sr Design Engineer 1 / Sr Design Engineer 2 / Design Lead / Sr Design LeadExperience: 4-11 years relevant experience.Location – BangaloreTessolve Semiconductors a venture of Hero Electronix, part of $5B Hero Group companies a Design and Test Engineering Service Company providing...
-
Physical Design Engineer
3 days ago
bangalore, India UST Full timeJob Title: Physical Design (PD) Engineer/ Senior PD Engineer/ Lead PD Engineer Company: UST Global Location: Bangalore Experience Level: 4+ years, 7+ years, 10+ yearsJob Description:UST Global is seeking a skilled Physical Design (PD) Engineer to drive advanced RTL-to-GDSII implementation for cutting-edge semiconductor projects. The ideal candidate will have...

Senior Physical Design Engineer
1 week ago
Job Description:
Ignitarium is looking for a highly skilled Physical Design Engineer with significant experience in floor-planning, CTS (Clock Tree Synthesis), power routing, place and route, timing closure, DRC (Design Rule Checking), and LVS (Layout vs. Schematic). If you are passionate about tackling complex challenges in physical design and possess strong problem-solving and communication skills, we invite you to be part of our dynamic team in Bangalore or Cochin.
Responsibilities:
- · Execute floor-planning, CTS, power routing, place and route, timing closure, DRC, and LVS for block-level and top-level designs
- · Work on the latest technology nodes (14nm or lesser) and ensure compliance with customer requirements
- · Conduct static timing analysis and implement appropriate fixes
- · Collaborate closely with cross-functional teams, including mentoring and supporting junior engineers
- · Demonstrate the ability to work on designs without a customer flow if required
- · Contribute to the overall success of the team by actively participating in problem-solving and maintaining effective communication within the team.
Requirements/Skills:
- · In-depth experience in floor-planning, CTS, power routing, place and route, timing closure, DRC, and LVS
- · Experience in advanced design methodologies and tools like Innovus or tempus· Familiarity with the latest technology nodes (14nm or lesser)
- · Proficiency in static timing analysis and physical verification methodologies
- · Strong problem-solving skills and effective communication abilities
- · Capability to mentor and work closely with junior engineers
Preferred Skills:
- · Previous experience working on designs without a customer flow
- · Knowledge of advanced design methodologies and tools like Innovus or tempus· Excellent communication skills with a collaborative and team-oriented mindset
Educational Qualifications:
BE/ME or BTech/MTech in Electrical Engineering or related field
About Us:
Ignitarium is a boutique product engineering house that combines its deep expertise in semiconductor, AI, and wireless-enabled embedded systems to realize cost-effective system solutions to solve demanding real world use cases.
Since its inception in 2012, Ignitarium, which has a global footprint with presence in India (Bengaluru and Kochi), USA (San Jose and Austin), Canada, Japan, and Europe, has been focused on providing product engineering services for intelligent systems. Its offerings include semiconductor logic design and architecture, design verification, FPGA prototyping, embedded, multimedia and AI software in the Automotive, Industry 4.0, Consumer Electronics, Robotics and Health care segments. Learn more about us at www.ignitarium.com.