High-Speed Interface Validation Engineer
5 days ago
We Are:
At Synopsys, we drive the innovations that shape the way we live and connect. Our technology is central to the Era of Pervasive Intelligence, from self-driving cars to learning machines. We lead in chip design, verification, and IP integration, empowering the creation of high-performance silicon chips and software content. Join us to transform the future through continuous technological innovation.
You Are:
You are a dedicated and detail-oriented Validation Engineer with a passion for post-silicon validation testing and debugging of high-speed SerDes interface IP products, such as PCIe and Ethernet. You thrive in a collaborative environment, working with cross-functional design teams comprising analog and digital designers from diverse backgrounds. Your expertise in measurement techniques on serial data links and hands-on experience with lab equipment like BERTs, VNAs, Oscilloscopes, and Spectrum Analyzers sets you apart. You bring strong programming skills in Matlab, C, C++, and Python, and if you have FPGA prototyping experience, thats a significant advantage. With a minimum of 3 years of relevant validation experience, you are ready to take on challenges in an R&D test lab environment, developing evaluation scripts and tools, running tests, analyzing results, and debugging issues.
What Youll Be Doing:
- Developing evaluation scripts and tools for post-silicon validation testing.
- Running tests and analyzing results to ensure the integrity and performance of SerDes interface IP products.
- Debugging issues and providing solutions in an R&D test lab environment.
- Collaborating with a cross-functional team of analog and digital designers.
- Conducting PCIe compliance testing and other high-speed protocol validations.
- Utilizing lab equipment such as BERTs, VNAs, Oscilloscopes, and Spectrum Analyzers for signal integrity measurements.
The Impact You Will Have:
- Ensure the reliability and performance of high-speed SerDes interface IP products.
- Accelerate the time-to-market for differentiated products with reduced risk.
- Contribute to the development of cutting-edge technology in the semiconductor industry.
- Enhance the capabilities of Synopsys&apos broad portfolio of silicon IP.
- Support the creation of high-performance silicon chips and software content.
- Drive innovation in the Era of Pervasive Intelligence through effective validation and testing.
What Youll Need:
- BE/BTech or ME/MTech in EE, ECE, VLSI, or equivalent experience.
- 3+ years of meaningful validation experience.
- Knowledge and understanding of measurement techniques on serial data links.
- Experience in post-silicon validation (Analog Validation).
- Skills in signal integrity measurements and analog characterization of SerDes IP.
- Hands-on experience with high-speed IO bring-up and compliance testing for protocols like JESD, Ethernet, PCIe, USB.
- Proficiency in programming languages such as Matlab, C, C++, and Python.
Who You Are:
- An analytical thinker with problem-solving skills.
- A collaborative team player who communicates effectively with diverse teams.
- Detail-oriented and highly organized.
- Adaptable and able to thrive in a fast-paced R&D environment.
- Passionate about technology and innovation.
The Team Youll Be A Part Of:
You will join a dynamic and collaborative team focused on post-silicon validation of high-speed SerDes interface IP products. The team consists of talented analog and digital designers who work together to ensure the successful integration of Synopsys&apos broad portfolio of silicon IP. Your contributions will be crucial in validating and optimizing the performance of cutting-edge technology, driving innovation in the semiconductor industry.
Rewards and Benefits:
We offer a comprehensive range of health, wellness, and financial benefits to cater to your needs. Our total rewards include both monetary and non-monetary offerings. Your recruiter will provide more details about the salary range and benefits during the hiring process.
-
Validator Engineer for High-Speed Interfaces
5 days ago
Hyderabad, Telangana, India Synopsys Inc Full timeSynopsys Inc is a global leader in electronic design automation (EDA) software and services. Our mission is to empower innovators around the world to drive the next era of technology breakthroughs.We are seeking a talented Validator Engineer for High-Speed Interfaces to join our team in developing evaluation scripts and tools for post-silicon validation...
-
High-Speed Interface Validation Expert
5 days ago
Hyderabad, Telangana, India Synopsys Inc Full time**Overview**Synopsys Inc is a leading provider of electronic design automation (EDA) software and services. Our technology enables the creation of high-performance silicon chips and software content that shapes the way we live and connect.We are seeking a skilled High-Speed Interface Validation Expert to join our team in post-silicon validation of high-speed...
-
High-Speed Interface Engineer
4 days ago
Hyderabad, Telangana, India Micron Technology Full timeMicron Technology seeks a seasoned Signal Integrity Engineer to contribute to the development of innovative memory and storage solutions. This role involves leading technical efforts on signal integrity and power integrity for high-speed interfaces, including modeling, simulation, and correlation to measurement. The ideal candidate will have a strong...
-
High-Speed Interface Design Engineer
4 days ago
Hyderabad, Telangana, India ACL Digital Full timeAaron, California - Lorem ipsum dolor sit amet, consectetur adipiscing elit. Sed sit amet nulla auctor, vestibulum magna sed, convallis ex. Cum sociis natoque penatibus et magnis dis parturient montes, nascetur ridiculus mus.Job Title: Analog Design EngineerLocation: AnywhereJob Type: Full-timeAbout Us:ACL Digital is a leading provider of innovative digital...
-
High-Speed Interface Specialist
2 days ago
Hyderabad, Telangana, India Micron Technology Full timeJob Responsibilities:">Lead technical efforts on signal and power integrity for high-speed interfaces.Model, analyze, and simulate using various tools.Collaborate with cross-functional teams to ensure product performance.Support FAEs and applications engineers with models for external customers.">Requirements:">Bachelor's or Master's degree in...
-
High-Speed Analog Architect
5 days ago
Hyderabad, Telangana, India Synopsys Inc Full timeAbout This OpportunityWe are seeking a highly skilled High-Speed Analog Architect to join our team of experts in developing high-performance analog and mixed-signal circuits for PCIe PHY designs. As a key member of our team, you will be responsible for designing and developing complex analog/mixed-signal blocks for PCIe 6 and PCIe 7 PHY...
-
High-Speed Circuit Expert
1 day ago
Hyderabad, Telangana, India Cadence Full timeCadence is looking for an experienced Lead Analog Design Engineer to lead the development of high-speed SerDes and memory interface circuits. The successful candidate will have a strong background in high-speed analog circuit design and experience in working with industry-standard protocols.About the Role:Design and Develop Innovative Solutions: Collaborate...
-
Analog Design Engineer
2 days ago
Hyderabad, Telangana, India Synopsys Inc Full timeCompany OverviewSynopsys Inc drives innovations that shape our lives and connections. Our technology is central to the Era of Pervasive Intelligence, empowering high-performance silicon chips and software content.Job SummaryWe are seeking an experienced Analog Design Engineer to join our team. You will develop next-generation high-speed memory interface PHY...
-
Senior / Staff Hardware Validation Engineer
3 weeks ago
Hyderabad, Telangana, India Micron Full timeOur vision is to transform how the world uses information to enrich life for all. Micron Technology is a world leader in innovating memory and storage solutions that accelerate the transformation of information into intelligence, inspiring the world to learn, communicate and advance faster than ever. JR51797 Senior / Staff Hardware Validation Engineer...
-
High-Speed IO PHY Designer
3 days ago
Hyderabad, Telangana, India ACL Digital Full timeJob Description:Design high-speed IO PHY blocks, including Transmitters, Receivers, PLLs, Clocking blocks, DCCs, and Equalizers for interfaces like UCIe, HBMIO, DDRIO, PCIe, HDMI, and USB.Set up circuit testbenches, including circuit biasing, power noise simulations, stability analysis, jitter analysis, power up/down simulations, and other performance...
-
High-Speed Mixed Signal IP Specialist
2 days ago
Hyderabad, Telangana, India Xilinx Full time**Job Description**As a High-Speed Mixed Signal IP Specialist at AMD, you will be responsible for designing and implementing complex Analog Mixed Signal IPs with significant Digital and Analog content. You will work closely with Circuit and FE Architects to architect the analog-digital interface timing boundary for high-speed analog mixed signal IP...
-
High-Speed I/O and PHY Technology Specialist
3 days ago
Hyderabad, Telangana, India Xilinx Full timeAbout the Job:This role involves working with a talented team of engineers to design and implement high-speed Analog Mixed Signal IPs.The successful candidate will be responsible for architecting the analog-digital interface timing boundary, designing high-speed custom digital sub-modules, and using performance-power-reliability trade-off matrices to achieve...
-
Embedded Systems Engineer
1 day ago
Hyderabad, Telangana, India Micron Technology Full timeAt Micron Technology, we are an industry leader in innovative memory and storage solutions. Our mission is to transform how the world uses information to enrich life for all.Job DescriptionWe are seeking a skilled Embedded Systems Engineer to join our Non-Volatile Engineering (NVEG) Product Engineer team. As a key member of this team, you will be responsible...
-
High-Speed Circuit Designer
5 days ago
Hyderabad, Telangana, India Synopsys Inc Full time**Overview of Role:**We are looking for a seasoned Analog Design Senior Engineer to join our growing team, responsible for the design, development, and refinement of high-speed analog integrated circuits.**Key Responsibilities Include:**Developing high-speed analog integrated circuits in the latest FinFET process nodes.Designing and implementing Multi-Gbps...
-
Hyderabad, Telangana, India Synopsys Inc Full timeWe are at the forefront of the Era of Pervasive Intelligence, where technology is central to shaping the way we live and connect. Our company drives innovations in chip design, verification, and IP integration, empowering the creation of high-performance silicon chips and software content.We are looking for a skilled Post-Silicon Validator for SerDes IP...
-
High-Speed IC Design Specialist
4 days ago
Hyderabad, Telangana, India Synopsys Inc Full timeAbout Synopsys Inc.We drive the innovations that shape the future of electronics, from AI to IoT, and beyond. Our technology empowers the creation of high-performance silicon chips and software content, transforming industries and improving lives.Job SummaryThis role involves leading the characterization and modeling of high-speed SERDES IP, ensuring...
-
Hyderabad, Telangana, India Xemplar Insights Full timeXemplar Insights is a US-based software and solutions company specializing in customer engagement and risk management software, leveraging AI/ML, GPT, Mobile, Web, and Cloud technologies.Our clients are primarily in the insurance and financial services sectors in the US and Europe.We are looking for a Front-End Developer / Web Developer to design...
-
Hyderabad, Telangana, India AMD Full timeAbout the RoleThe ideal candidate will get to work with circuit and FE architects to accurately model the analog digital interface boundary of high speed mixed signal IPs to accomplish timing integrity goals. This role involves analyzing timing constraints for complicated static timing analysis (STA) paths including multistage generated clocks, ZCPs in a...
-
High-Speed Analog IC Specialist
3 days ago
Hyderabad, Telangana, India Synopsys Inc Full timeAbout the RoleIn this position, you will be responsible for designing high-speed analog integrated circuits from SerDes standards to block specifications.As part of our fast-growing R&D team, you will identify potential circuit architectures and successful design strategies, working closely with a cross-functional team of analog and digital designers.Key...
-
High-Speed Serial Link Expert
7 hours ago
Hyderabad, Telangana, India Synopsys Inc Full timeAt Synopsys, we are shaping the future of connectivity through innovative technologies. As a Digital Verification Specialist, you will play a critical role in designing and verifying high-speed serial links for inter and intra chip communication.Key ResponsibilitiesDesigning and verifying complex digital and analog operations using finite state...