Physical Design Manager
4 weeks ago
We are looking for an experienced Physical Design Manager and deliver the next generation of cutting-edge designs Role includes managing a team of 10+
KEY RESPONSIBILITIES:
- Manage the team responsible for Synthesis, Physical Design, timing and Physical closure
- Manage a large team of internal and external resources
- Responsible for ensuring the completion of the SOC chip on schedule with high QOR
- Physical implementation of block level and subsystem level
- Contribute to Synthesis, Power Reduction, Timing Convergence Floorplan efforts of block and subsystem
PREFERRED EXPERIENCE:
- Proven track record on successfully managing PD teams for complex SOC
- Have an in depth understanding and experience for all Physical Design activities for a large, leading technology SOC ASIC chip
- Have strong management, technical problem solving, communication and presentation skills
- Great team player able to effectively interact and collaborate partner teams
- Experienced with Front-End design, DFX and Physical Design Flows
- Communication skills: excellent oral, written and presentation skills
- Extensive Experience in handling different PNR tools - Synopsys ICC2, ICC, Design Compiler, PrimeTime, StarRC, Mentor Graphics Calibre, Apache Redhawk
- Physical Implementation of Power-plan, Synthesis, Placement, CTS, Timing Closure, Routing, Extraction, Physical Verification (DRC LVS), Crosstalk Analysis, EM/IR
- Hands on experience on 7nm and sub-7nm projects
- Experienced in Full Chip Floorplaning, PNR Partitioning / Bump Placement is preferred
- Experience in Low power and high-performance design.
- Responsible for on-time delivery of block-level layouts with exceptional quality.
- Strong self-driving ability & problem-solving skills with high drive for improvements
- Should have excellent communication skills (both written and oral)
ACADEMIC CREDENTIALS:
- BE/B.Tech/ME/M.TECH or equivalent ECE/EEE
- 12+ years of experience in Physical Design with at least 3+ years of experience in people management
Contact:
Uday
Mulya Technologies
"Mining The Knowledge Community"
-
Physical Design Manager
1 month ago
Greater Bengaluru Area, India Mulya Technologies Full timeWe are looking for an experienced Physical Design Manager and deliver the next generation of cutting-edge designs Role includes managing a team of 10+KEY RESPONSIBILITIES:Manage the team responsible for Synthesis, Physical Design, timing and Physical closureManage a large team of internal and external resourcesResponsible for ensuring the completion of the...
-
Physical Design Manager
1 month ago
Greater Hyderabad Area, India Mulya Technologies Full timewww.Sevyamultimedia.comPhysical Design ManagerAbout UsWe are a technology consulting company delivering best-in class Chip Design Services.Founded in 2008, we partner with top semiconductor companies in building a connected, safer tomorrow.With over 40+ tapeouts and expertise spanning the breadth of chip design, we offer a wide variety of Semiconductor...
-
Physical Design Manager
1 month ago
Greater Hyderabad Area, India Mulya Technologies Full timePhysical Design Manager About Us We are a technology consulting company delivering best-in class Chip Design Services. Founded in 2008, we partner with top semiconductor companies in building a connected, safer tomorrow. With over 40+ tapeouts and expertise spanning the breadth of chip design, we offer a wide variety of Semiconductor skills Our...
-
Physical Design Manager
3 months ago
Greater Hyderabad Area, India Mulya Technologies Full timePhysical Design ManagerTop10 Semiconductor Organization in the WorldHyderabadWe are a world leader in innovating memory and storage solutions that accelerate the transformation of information into intelligence, inspiring the world to learn, communicate and advance faster than ever.Manager - Physical DesignAs a Manager Physical Design Engineer, you will be an...
-
Physical Design Director
1 month ago
Greater Hyderabad Area, India Mulya Technologies Full timewww.Sevyamultimedia.comPhysical Design DirectorAbout UsWe are a technology consulting company delivering best-in class Chip Design Services.Founded in 2008, we partner with top semiconductor companies in building a connected, safer tomorrow.With over 40+ tapeouts and expertise spanning the breadth of chip design, we offer a wide variety of Semiconductor...
-
Physical Design Director
1 month ago
Greater Hyderabad Area, India Mulya Technologies Full timePhysical Design Director About Us We are a technology consulting company delivering best-in class Chip Design Services. Founded in 2008, we partner with top semiconductor companies in building a connected, safer tomorrow. With over 40+ tapeouts and expertise spanning the breadth of chip design, we offer a wide variety of Semiconductor skills Our...
-
Principal Engineer
3 days ago
Greater Bengaluru Area, India Mulya Technologies Full timeA large MNC with Market Cap over 50 Billion USD Principal Engineer, Physical Design (10-15 years experience)Location: BangaloreWould you like to work for an ambitious and dynamic startup company that is rapidly expanding into multiple verticals?Are you interested in developing novel and exclusive technology in a cutting-edge industry?Are you looking to...
-
Senior Staff Physical design Engineer
2 months ago
Greater Bengaluru Area, India Mulya Technologies Full timeSemicon Product MNCSenior Staff Physical design EngineerBangalore Perform hands-on physical design and physical verification tasks across projects in advanced process nodes. Manage project-specific ASIC development flow setup and maintenance. Physical design tasks include floor-planning, place and route, CTS, timing closure, IR/EM analysis, and LEC for block...
-
Senior Staff Physical design Engineer
2 months ago
Greater Bengaluru Area, India Mulya Technologies Full timeSemicon Product MNC Senior Staff Physical design Engineer Bangalore Perform hands-on physical design and physical verification tasks across projects in advanced process nodes. Manage project-specific ASIC development flow setup and maintenance. Physical design tasks include floor-planning, place and route, CTS, timing closure, IR/EM analysis, and...
-
Senior Physical Design Engineer
1 month ago
Greater Bengaluru Area, India Mulya Technologies Full timeA Large Service and Solutions Organization with Revenue over 500 Million USDLocation; BangaloreJob AreaHardware EngineeringJob Description SummaryGeneral Summary:Join the us as a Physical Design Engineer for the most cutting-edge work. we assist our clients in developing their next generation flagship product lines (mobile devices, complex routers/switches,...
-
Senior Physical Design Engineer
1 month ago
Greater Bengaluru Area, India Mulya Technologies Full timeA Large Service and Solutions Organization with Revenue over 500 Million USD Location; Bangalore Job Area Hardware Engineering Job Description Summary General Summary: Join the us as a Physical Design Engineer for the most cutting-edge work. we assist our clients in developing their next generation flagship product lines (mobile devices, complex...
-
Physical Design Lead
4 weeks ago
Greater Bengaluru Area, India Mulya Technologies Full timePhysical Design Team Lead Location: Bangalore We are looking for an experienced Physical Design Manager and deliver the next generation of cutting-edge designs Role includes managing a team Good exposure in handling block/SOC level RTL-gds2. Capable of handling block-level timing closure. Excellent knowledge on all low power & signoff checks, like...
-
Physical Design Lead
1 month ago
Greater Bengaluru Area, India Mulya Technologies Full timePhysical Design Team LeadLocation: BangaloreWe are looking for an experienced Physical Design Manager and deliver the next generation of cutting-edge designs Role includes managing a team Good exposure in handling block/SOC level RTL-gds2. Capable of handling block-level timing closure. Excellent knowledge on all low power & signoff checks, like MVRC/CLP,...
-
Senior Principal Engineer
2 months ago
Greater Bengaluru Area, India Mulya Technologies Full timeA large MNC with Market Cap over 50 Billion USD Senior Principal Engineer/ Principal Engineer, Physical Design (10-20 years experience) Location: Bangalore Would you like to work for an ambitious and dynamic startup company that is rapidly expanding into multiple verticals? Are you interested in developing novel and exclusive technology in a cutting-edge...
-
Senior Principal Engineer
2 months ago
Greater Bengaluru Area, India Mulya Technologies Full timeA large MNC with Market Cap over 50 Billion USDSenior Principal Engineer/ Principal Engineer, Physical Design (10-20 years experience)Location: BangaloreWould you like to work for an ambitious and dynamic startup company that is rapidly expanding into multiple verticals?Are you interested in developing novel and exclusive technology in a cutting-edge...
-
Senior Staff Engineer
4 days ago
Greater Bengaluru Area, India Mulya Technologies Full timeA large MNC with Market Cap over 50 Billion USD Senior Staff / Staff Engineer, Physical Design (5-10 years experience)Location: BangaloreWould you like to work for an ambitious and dynamic startup company that is rapidly expanding into multiple verticals?Are you interested in developing novel and exclusive technology in a cutting-edge industry?Are you...
-
Physical Design Engineer
7 days ago
Bengaluru, India Cadence Design Systems Full timeJob Responsibilities:Development, automation and maintenance of EDA flows and scripts for physical implementationPPA characterization and optimization of flow for performance-oriented and power-oriented best-in-class IP cores in advanced process nodes, such as 7nm/5nm/3nm/2nmManage regression infrastructure that tracks quality of the RTL/flow development as...
-
Senior Physical Design Engineer
4 weeks ago
Greater Bengaluru Area, India ACL Digital Full timeACL Digital is hiring for PD and STA Engineers for Bangalore and Location. 3+years of experience in Physical Design. Must have exp into floorplanning, STA blocks (2 blocks each) from synthesis, PnR, Timing and PV closure. Notice period- Immediate to 30 days
-
Senior Physical Design Engineer
4 weeks ago
Greater Bengaluru Area, India ACL Digital Full timeACL Digital is hiring for PD and STA Engineers for Bangalore and Location.3+years of experience in Physical Design.Must have exp into floorplanning, STA blocks (2 blocks each) from synthesis, PnR, Timing and PV closure.Notice period- Immediate to 30 days
-
Staff Engineer
4 weeks ago
Greater Hyderabad Area, India Renesas Electronics Full timeJob ID: 55704Location: Hyderabad, IndiaJob Type: Permanent (Full Time)Key Accountabilities:Executes plans of record for physical design(RTL-GDSII), including schedules, resources, deliverables, and risksWorking independently with little supervisionMonitors and drives the program from initiation through delivery, interfacing with internal and external...