![INTEL](https://contents.bebee.com/companies/in/intel/avatar-CO9zL.png)
SOC Design Engg
2 weeks ago
Leading capability to drive SD improvements, definitions and execution. Good communication and team work skills. Knowledge of RTL-to-GDS flow through synthesis and P and R with targets for power, performance, and area. Implement and validate physical design on the aspects of timing, area, reliability, testability and power. Developing SD teams for optimal performance and productivity.
Define area, frequency, performance, power and schedule trade-offs
Strong planning, and problem-solving skills
Experience hands-on execution (synthesis, place and route, timing analysis, and SD verification tools).
Knowledge of logic design principles along with timing and power implications
Understanding of low power micro architecture and implementation techniques
Familiarity with high performance architecture
Experience with scripting in Python, Perl and/or TCL
Qualifications:
Qualifications:
Experience :
Should have 5+ years of experience in relevant field with High Performance Solutions Implementation experience
Bachelor Degree in Electrical and Electronics Engineering or Master Degree in Electrical and Electronics Engineering or Computer Engineering
Inside this Business Group:
Xeon and Networking Engineering (XNE) focuses on the development and integration of XEON and Networking SOC's and critical IP's sustain Intels Xeon and 5G networking roadmap.
Posting Statement:
All qualified applicants will receive consideration for employment without regard to race, color, religion, religious creed, sex, national origin, ancestry, age, physical or mental disability, medical condition, genetic information, military and veteran status, marital status, pregnancy, gender, gender expression, gender identity, sexual orientation, or any other characteristic protected by local law, regulation, or ordinance.
Benefits:
We offer a total compensation package that ranks among the best in the industry. It consists of competitive pay, stock, bonuses, as well as, benefit programs which include health, retirement, and vacation. Find more information about all of our Amazing Benefits here.
Working Model:
This role will be eligible for our hybrid work model which allows employees to split their time between working on-site at their assigned Intel site and off-site.
In certain circumstances the work model may change to accommodate business needs.
JobType
Hybrid
-
SOC Design Engg
2 weeks ago
Bengaluru, Karnataka, India INTEL Full timeDevelops the logic design, register transfer level (RTL) coding, and simulation for an SoC design and integrates logic of IP blocks and subsystems into a full chip SoC or discrete component design. Participates in the definition of architecture and microarchitecture features of the block being designed. Performs quality checks in various logic design aspects...
-
SOC Design Engg
2 weeks ago
Bengaluru, Karnataka, India INTEL Full timeExperience in SoC VerificationKnowledge in DFX, design for test, debug, and manufacturing.Experience in post-Si validation/debugExperience in SOC or IP verificationUVM/OVM testbench knowledgeGood understanding of SoC architecture / uArchitectureStrong analytical ability, problem solving and communication skillsFacilitator of direct and open communication,...
-
SOC Design Engg
2 weeks ago
Bengaluru, Karnataka, India INTEL Full timeStrong knowledge of DFT architectures and methodologies which includes Scan, ATPG, Mbist, BScan, IO DFx, analog DFT, JTAG, Boundary scan etcand proven knowledge of Verilog and System Verilog, RTL design and micro-architecture skills. Strong knowledge of SoC tools/methodology( VCS, Synthesis, Spyglass, Tessent Industry standard ATPG/MBIST tools design...
-
SOC Design Engg
2 weeks ago
Bengaluru, Karnataka, India INTEL Full timeShould have experience in conceptualizing the approach for verification of a design block, construction of test benches and test plans.Should have experience in using System Verilog and VMM (or UVM) methodologies.Should possess strong problem solving skills and debug the test failures to root cause the failures.Should have got prior experience in...
-
SOC Design Engg
2 weeks ago
Bengaluru, Karnataka, India INTEL Full timePhysical design engineer with experience in Structural Design. Hands-on experience of block, IP, and full-chip floor-planning, synthesis, APR, static-timing, electrical/reliability analysis, tapeout flows.Leading capability to drive SD improvements, definitions and execution. Good communication and team work skills. Knowledge of RTL-to-GDS flow through...
-
SOC Design Engg
2 weeks ago
Bengaluru, Karnataka, India INTEL Full timeJob Description:Interacting with the architecture/RTL Teams and understanding the power Architectures.Additional experience in Low Power Logical Equivalence is added advantage.Qualifications:Experience : Should have 5+ years of experience in relevant fieldBachelor Degree in Electrical and Electronics Engineering or Master Degree in Electrical and Electronics...
-
Bengaluru, Karnataka, India Broadcom Inc. Full timePlease Note : 1. If you are a first time user, please create your candidate login account before you apply for a job. (Click Sign In > Create Account) 2. If you already have a Candidate Account, please Sign-In before you apply. Job Description: VLSI Design SOC/TOP level engineer with 7 -10 years of experience in RTL Design /Integration...
-
Bengaluru, Karnataka, India Spectrum Consultants India Private Limited Full timeVLSI Technical SOC/TOP Level Verification Engineer SummaryExperience Required:YearsJob Term:PermanentLocation:BangaloreCategory:Semiconductor DesignA leading designer, developer and global supplier of a broad range of digital and analog semiconductor connectivity solutions that serve the wired infrastructure, wireless communications, enterprise storage and...
-
Senior SoC Design Engineer
2 weeks ago
Bengaluru, Karnataka, India NVIDIA Full timeNVIDIA is seeking a Senior SoC Design Engineer to design the next-generation SoCs. We are looking for special individuals to deliver innovative products. Together, we will build the next generation of life-changing SoCs. If you are a motivated individual who understands how complex SOC and Subsystems are built, has intimate knowledge of client requirements,...
-
SOC Design Intern
2 weeks ago
Bengaluru, Karnataka, India INTEL Full timeWe are looking for a highly motivated interns in areas of RTL design, Design for Debug, Pre-Silicon Verification and Emulation, Power and Performance modelling, Structural design and SoC Signoff work for SoC Development under NEX/NXNE/NES group.Qualifications:Internship start date - June 2024 Student from B.E/B.Tech/M.E/M.Tech in...
-
SoC Design Lead/Manager
2 weeks ago
Bengaluru, Karnataka, India 7Rays Semiconductors India Private Limited Full timeAbout CompanyAt 7Rays Semiconductors ( , we provide end-to-end VLSI design solutions to help our clients achieve execution excellence. Our team of experts specializes in architecture, RTL design, verification, validation, physical design, implementation, and post-silicon validation using the latest technologies and methodologiesWe work closely with our...
-
SoC MicroArchitect
2 weeks ago
Bengaluru, Karnataka, India SiliconAuto India Full timeAs an SoC Micro-Architect, you will play a key role in the design and development of complex ASICs and System-on-Chip architectures for various electronic devices. You will be responsible for defining the microarchitecture of the SoC, optimizing performance, power efficiency, and area constraints while ensuring compatibility with system-level requirements....
-
SoC MicroArchitect:
2 weeks ago
Bengaluru, Karnataka, India Mulya Technologies Full timeSoC MicroArchitect:Location: BangaloreWe are looking for someone who will lead the development of high-speed cutting-edge SerDes design. As the lead of the micro-architectural definition and design implementation, the selected candidate will drive logic chip design achieving data of 16Gbs and above.SoC Micro-Architect, you will play a key role in the design...
-
Bengaluru, Karnataka, India Broadcom Inc. Full timePlease Note : 1. If you are a first time user, please create your candidate login account before you apply for a job. (Click Sign In > Create Account) 2. If you already have a Candidate Account, please Sign-In before you apply. Job Description: VLSI Technical engineer with 7 -10 years of experience in SOC/TOP Level Functional verification ...
-
Sr. Design Manager SoC Verification
2 weeks ago
Bengaluru, Karnataka, India L&T Semiconductor Technologies Full timeRole- Sr. Design Manager- SoC VerificationStream- R&DReporting To- Chief Development Officer / Global Head of EngineeringLocation- BengaluruCOMPANY DESCRIPTION:L&T Semiconductor Technologies (LTSCT), a fully owned subsidiary of L&T, is the first major Indian Semiconductor product company - a fabless company for designing & delivering Smart Devices for Global...
-
Bengaluru, Karnataka, India ConnectPro Consultant Full timeDebug and interconnect Design Lead/MethodologyJobSummarySoC Debug is very crucial in chip design as it helps identify and resolve issues thatcan arise during the development and post silicon testing. Effective and consistent SoC Debug infrastructure ensures the functionality, performance, and reliability of the chip. It helps in pinpointing and fixing...
-
Sr. Design Manager SoC Verification
2 weeks ago
Bengaluru, Karnataka, India L&T Semiconductor Technologies Full timeRole- Sr. Design Manager- SoC VerificationStream - R&DReporting To - Chief Development Officer / Global Head of EngineeringLocation - BengaluruCOMPANY DESCRIPTION:L&T Semiconductor Technologies (LTSCT), a fully owned subsidiary of L&T, is the first major Indian Semiconductor product company - a fabless company for designing & delivering Smart Devices for...
-
SoC Design Engineer
2 weeks ago
Bengaluru, Karnataka, India Ananant Systems Private Limited Full timeLeapfrog Semiconductor is a well funded chip design startup engaged in developing cutting edge solutions for the AI enhanced communications signal processing market (eg:5G, Wifi physical layer, Automotive/radar, etc). We are headquartered at San Diego, California and have a major engineering center at Bangalore, India. Backed by leading venture capitalists,...
-
SoC Director
2 weeks ago
Bengaluru, Karnataka, India Mulya Technologies Full timeSoC DirectorLocation: BangaloreSoC DirectorBangalore, India /Who We AreWe are a AI semiconductor startup company headquartered in Ann Arbor, Michigan, with branches in Bangalore, India as well as in Taiwan. We develop highly scalable and innovative AI accelerator chips that offer high performance, low energy, and customer ease of implementation for embedded...
-
Ifs, SOC Design for Debug
2 weeks ago
Bengaluru, Karnataka, India INTEL Full timeARM SoC based debug infrastructure including Core sight infrastructure (implementation and/or validation) Exposure on SoC Cross Trigger Matrix including Interface with CPU CTI channels and support from triggers to/from external PINS JTAG based debug architecture (conforming to and 1687 based iJTAG standard) ICL extraction and verification Creation of test...