DFT Engineer
4 weeks ago
Are you a seasoned professional with 8+ years of experience in DFT? We're looking for a dynamic and skilled individual to join our team
- Lead, having experience in at least few of the key domains listed- Lead interfacing with customer, have lead at least 10-member team- Scan Insertion- Scan Validation- ATPG generation, including Netlist debug for issues and having resolved same with Backend team- MBIST validation- Supporting PostSi team for pattern generation issues
Job Location: Bangalore/Vizag/Kochi/Ahmedabad
Apply now and be part of a team that values expertise, innovation, and a commitment to excellence
Interested candidate can share their resume to SM822649@TechMahindra.com
-
DFT Enginer
4 weeks ago
india Tech Mahindra Full timeHi Folks, We are hiring for DFT Engineer Exp- 4+ years Location- Bangalore / Kochi / Ahmedabad / Vizag About Job Experience in defining / understanding DFT Architecture / Microarchitecture features of a block, subsystem or SoC under DFT being designed (including TAP, SCAN, MBIST, BSCAN, proc monitors, in system test/BIST) · Good Working Experience in DFT...
-
Senior DFT Engineer
4 weeks ago
india ACL Digital Full timeHi, Greetings from ACL Digital We are looking for "DFT Engineers" Exp Level: 5+ Description: We are seeking an experienced DFT Engineer with industry experience in the VLSI domain to join our dynamic team. The ideal candidate will have a strong background in Design for Testability (DFT) methodologies and techniques, with a proven track record of successfully...
-
Senior DFT Engineer
4 weeks ago
india ACL Digital Full timeHi,Greetings from ACL DigitalWe are looking for "DFT Engineers"Exp Level: 5+Description:We are seeking an experienced DFT Engineer with industry experience in the VLSI domain to join our dynamic team. The ideal candidate will have a strong background in Design for Testability (DFT) methodologies and techniques, with a proven track record of successfully...
-
Senior DFT Engineer
4 weeks ago
india ACL Digital Full timeHi,Greetings from ACL DigitalWe are looking for "DFT Engineers"Exp Level: 5+Description:We are seeking an experienced DFT Engineer with industry experience in the VLSI domain to join our dynamic team. The ideal candidate will have a strong background in Design for Testability (DFT) methodologies and techniques, with a proven track record of successfully...
-
DFT Engineer
18 hours ago
India Tecquire Solutions Pvt Ltd Full timeAbout the Job : We are looking for a talented and detail-oriented DFT Engineer with a minimum of 3 years of hands-on experience in Design for Testability. The ideal candidate will possess a strong technical background in DFT methodologies, including ATPG, MBIST, Scan Insertion, and Silicon Debugging, along with excellent scripting and debugging skills. Key...
-
DFT Engineers
4 weeks ago
India Live Connections Full timeAbout the Role: We are looking for Design for Test (DFT) Engineers with a strong foundation in VLSI design and test methodologies for the Automotive semiconductor domain . This role requires expertise in designing and implementing DFT strategies to enhance testability, optimize performance, and ensure high-quality silicon production. Key...
-
Principal DFT Engineer
3 weeks ago
India Mulya Technologies Full timePrincipal DFT Engineer Bangalore (Hybrid ) / Hyderabad (Hybrid ) / India-WFH(Remote ) Principal DFT Engineer Full Time / Experienced Summary Join an ambitious, experienced team of silicon and distributed systems experts as a Design For Test engineer. You have the opportunity to build a groundbreaking new category of product that revolutionizes the...
-
Principal DFT Engineer
4 weeks ago
India Mulya Technologies Full timePrincipal DFT EngineerBangalore (Hybrid ) / Hyderabad (Hybrid ) / India-WFH(Remote )Principal DFT EngineerFull Time / ExperiencedSummaryJoin an ambitious, experienced team of silicon and distributed systems experts as a Design For Test engineer. You have the opportunity to build a groundbreaking new category of product that revolutionizes the performance and...
-
DFT
4 weeks ago
india Capgemini Engineering Full timeJob Title:DFT EngineerLocation:BangaloreExperience:6 to 12 yearsJob Description:We seek a highly skilled DFT Engineer with expertise in test flows for complex SoCs. The ideal candidate should have:Strong knowledge of DFT techniques including JTAG, ATPG, yield learning, logic diagnosis, scan compression, IJTAG, MBIST/LBIST.Experience in Tessent-based ATPG...
-
DFT Engineer
3 weeks ago
Anywhere in India/Multiple Locations Curatal Full timeJob Description : The Senior DFT Engineer ATPG Verification is responsible for seamlessly integrating and meticulously verifying cutting-edge DFT techniques, including scan design, boundary scan, and built-in self-test (BIST), into the design of semiconductor chips. This role requires an in-depth understanding of DFT techniques and the ability to apply them...
-
Principal DFT Engineer
3 weeks ago
India Mulya Technologies Full timePrincipal DFT Engineer Bangalore (Hybrid ) / Hyderabad (Hybrid ) / India-WFH(Remote ) Principal DFT Engineer Full Time \ Experienced Summary Join an ambitious, experienced team of silicon and distributed systems experts as a Design For Test engineer. You have the opportunity to build a groundbreaking new category of product that revolutionizes the...
-
Principal DFT Engineer
4 weeks ago
India Mulya Technologies Full timePrincipal DFT EngineerBangalore (Hybrid ) / Hyderabad (Hybrid ) / India-WFH(Remote ) Principal DFT EngineerFull Time \ ExperiencedSummaryJoin an ambitious, experienced team of silicon and distributed systems experts as a Design For Test engineer. You have the opportunity to build a groundbreaking new category of product that revolutionizes the performance...
-
Wipro - DFT Engineer
18 hours ago
India Wipro Limited Full timeKey Responsibilities : - Interface with ASIC design teams to ensure DFT design rules and coverages are met. - Generate high-quality manufacturing ATPG test patterns for stuck-at (SAF), transition fault (TDF) models through the use of on-chip test compression techniques. - MBIST verification (including repair), test pattern generation through Mentor tool. -...
-
Senior/Staff DFT Engineer
4 weeks ago
india Synopsys Inc Full timeSr/Staff DFT Solutions Engineer: We're looking for DFT Solutions Engineer to join our team. The engineer works in a project-oriented environment to deliver complex DFT flows and methodologies across varying industry segments like Autonomous Transportation, Mission critical AI, High performance computing and Mobile networking. The engineer also interacts with...
-
VLSI - DFT Lead Engineer/Staff Engineer
4 weeks ago
india Eteros Technologies Full timeCompany: Eteros Technologies India Private LimitedEteros Technologies, Inc. is a Semiconductor Engineering services startup, head quartered in the heart of the Silicon Valley, San Jose, CA, USA. Eteros Technologies India Pvt Ltd is a wholly owned subsidiary offices in Bangalore, Noida, Hyderabad and Ahmedabad• Our world-wide customers are amongst The Who's...
-
DFT Verification Engineer
13 hours ago
India Xilinx Full timeJob DescriptionWHAT YOU DO AT AMD CHANGES EVERYTHINGWe care deeply about transforming lives with AMD technology to enrich our industry, our communities, and the world. Our mission is to build great products that accelerate next-generation computing experiences - the building blocks for the data center, artificial intelligence, PCs, gaming and embedded....
-
DFT Engineer
4 weeks ago
india Tecquire Solutions Pvt Ltd Full timeJob Description:- Hands-on DFT with 3+ year of experience with excellent debugging skills and scripting skills- ATPG, MBIST, Scan Insertion experience - simulating and verifying the ATPG, MBIST and LBIST patterns- Understanding the pattern porting requirements from block to top level- Should have good understanding of the DFT architecture- Should have good...
-
VLSI - DFT Lead Engineer/Staff Engineer
4 weeks ago
india Eteros Technologies Full timeCompany: Eteros Technologies India Private LimitedEteros Technologies, Inc. is a Semiconductor Engineering services startup, head quartered in the heart of the Silicon Valley, San Jose, CA, USA. Eteros Technologies India Pvt Ltd is a wholly owned subsidiary offices in Bangalore, Noida, Hyderabad and Ahmedabad• Our world-wide customers are amongst The Who's...
-
Senior DFT Engineer
4 weeks ago
india L&T Technology Services Full timeL&T Technology is looking to hire for DFT EngineerJob Location : BangaloreSkills should be : Scan insertion, ATPG, JTAG, test Kompress and scripting
-
DFT Verification Specialist
9 hours ago
India Xilinx Full time**Transform Lives with AMD Technology**We are driven by our mission to build innovative products that accelerate next-generation computing experiences. As a DFT verification engineer, you will play a crucial role in transforming the industry, our communities, and the world.The Role:We are seeking an adaptive and self-motivated design for test verification...