Sr Staff Engineer, Physical Design
2 weeks ago
Job Description
Location: Noida
Overview
We are looking for a Sr Staff Engineer to support the Back End Physical Design aspects of high-performance compute SOC/MCU development. The candidate is expected to be hands-on and have intermediate level knowledge on advanced technology node back-end physical implementation, preferably with sign-off and tape-out experience in automotive grade SOCs.
Responsibilities
Contribute to advanced technology node physical implementation and sign-off domains. Contribute to improve the process, methods and tools, driving the SOC chip development for lowest possible AUC (average unit cost) and highest yield quality Contribute to Chip KPIs on Power, Performance, Area, Thermal & Schedule commitments are met predictably and consistently. QualificationsAt least 7+ years of experience in related domains and have working knowledge of industry standard digital EDA toolkits. Conversant on EDA tools such as Cadence iSpatial, Fusion Compiler, Redhawk, Primetime, Tempus, Conformal/Formality etc. is a plus Expected to have intermediate level knowledge on E2E physical implementation flows such as Synthesis, Floorplan, Placement, CTS, Route/ECOs using industry standard tools. Familiarity with STA, PDN, PDV, Logic ECOs and Chip Sign-off is a plus Must be cognizant about low power implementation techniques. Can – do attitude; openness to new environment, people and culture Strong communication skills (written and verbal), problem solving, teamwork, attention to detail, commitment to task, and quality focus. Strong drive & ability to coordinate work across a cross functional, highly experienced global team. Degree in Electrical/Electronic Engineering, Computer Engineering or Computer Science Company Description
Renesas is one of the top global semiconductor companies in the world. We strive to develop a safer, healthier, greener, and smarter world, and our goal is to make every endpoint intelligent by offering product solutions in the automotive, industrial, infrastructure and IoT markets. Our robust product portfolio includes world leading MCUs, SoCs, analog and power products, plus Winning Combination solutions that curate these complementary products. We are a key supplier to the world's leading manufacturers of electronics you rely on every day; you may not see our products, but they are all around you.
Renesas employs roughly 21,000 people in more than 30 countries worldwide. As a global team, our employees actively embody the Renesas Culture, our guiding principles based on five key elements: Transparent, Agile, Global, Innovative, and Entrepreneurial. Renesas believes in, and has a commitment to, diversity and inclusion, with initiatives and a leadership team dedicated to its resources and values. At Renesas, we want to build a sustainable future where technology helps make our lives easier. Join us and build your future by being part of what's next in electronics and the world.
-
IT -Sr Staff Systems Engineer
1 week ago
Noida, Uttar Pradesh, India Cadence Design Systems, Inc. Full timeAt Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology. Job Title: IT -Sr Staff Systems EngineerGrade: IT5 Experience: 10+ Years Location: Noida Cadence is a pivotal leader in electronic design, building upon more than 30 years of computational software expertise. The company applies its underlying...
-
Physical Design Engineer
3 weeks ago
Noida, Uttar Pradesh, India SILCOSYS Solutions Pvt. Ltd. Full timeJob DescriptionCompany DescriptionA prominent MNC with operations in Noida is actively seeking candidates with at least 5 year of experience for a position in the field of Physical Design Engineer.Experience : 5 Years and AboveLocation : NoidaNotice Period : 0 - 30 DaysRole DescriptionThis is a full-time on-site role in Noida, for a Physical Design Engineer...
-
Physical Design Engineer
3 weeks ago
Noida, Uttar Pradesh, India THRIVEFORCE PRIVATE LIMITED Full timeWe are seeking a skilled and motivated Physical Design Engineer to join our team and contribute to the design and implementation of cutting-edge semiconductor chips. The ideal candidate will have expertise in digital physical design flows, with hands-on experience using Cadence Innovus tools for floorplanning, placement, routing, and timing closure.Key...
-
Physical Design Engineer
2 weeks ago
Noida, Uttar Pradesh, India THRIVEFORCE PRIVATE LIMITED Full timeWe are seeking a skilled and motivated Physical Design Engineer to join our team and contribute to the design and implementation of cutting-edge semiconductor chips. The ideal candidate will have expertise in digital physical design flows, with hands-on experience using Cadence Innovus tools for floorplanning, placement, routing, and timing closure.Key...
-
Physical Design Engineer
2 days ago
Noida, Uttar Pradesh, India eInfochips (An Arrow Company) Full timeDesignation: Physical Design Engineer Location: Noida only Experience required: 4+ relevant Notice Period: 0-30 Days (Immediate Joiners Preferred) ROLE & RESPONSIBILITIES Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna...
-
Physical Design Engineer
1 week ago
Noida, Uttar Pradesh, India eInfochips (An Arrow Company) Full timeDesignation: Physical Design EngineerLocation: Noida onlyExperience required: 4+ relevantNotice Period: 0-30 Days (Immediate Joiners Preferred)ROLE & RESPONSIBILITIESEngineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna fixing...
-
Physical Design Engineer
3 weeks ago
Noida, Uttar Pradesh, India eInfochips (An Arrow Company) Full timeDesignation: Physical Design EngineerLocation: Noida onlyExperience required: 4+ relevantNotice Period: 0-30 Days (Immediate Joiners Preferred)ROLE & RESPONSIBILITIES Engineer will be responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna fixing...
-
Physical Design Engineer/ Lead
2 weeks ago
Noida, Uttar Pradesh, India eInfochips (An Arrow Company) Full timePhysical Design Lead We are seeking a highly experienced Physical Design Lead to join our team at {company} . Job Summary: The Physical Design Lead will lead the implementation of physical design tasks from RTL to GDSII or Netlist to GDSII. The ideal candidate will have 4 to 12 years of hands-on experience in physical design, strong technical skills, and...
-
Sr Principal Design Engineer
1 week ago
Noida, Uttar Pradesh, India Cadence Design Systems, Inc. Full timeAt Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology. Job Title: Sr Principal Design EngineerLocation: Noida Cadence is a pivotal leader in electronic design, building upon more than 30 years of computational software expertise. The company applies its underlying Intelligent System Design strategy to...
-
Physical Design Engineer
3 weeks ago
Noida, Uttar Pradesh, India Cambio Consulting Pvt Ltd Full timeHi, Greetings, Hope you are doing good. We are Looking to Hire Physical Design--For Hyderabad/Bangalore/Noida And Pune Locations Job Description : • Experience in all aspects of Physical Design Place & Route implementation • The roles and responsibilities of engineer to develop expertise across the entire spectrum of RTL2GDSII Implementation...
-
ASIC Physical Design Engineer
2 weeks ago
Noida, Uttar Pradesh, India Quest Global Full timeJob DescriptionCompany DescriptionQuest Global is a global engineering organization headquartered in Singapore with offices in 17 countries and 67 global delivery centers. We are driven by over 17,800 employees who work together to solve the world&aposs hardest engineering problems. Quest Global delivers end-to-end engineering solutions across various...
-
Physical Design Engineer/ Lead
3 weeks ago
Noida, Uttar Pradesh, India eInfochips (An Arrow Company) Full timePHYSICAL DESIGN LEAD - NOIDA(NO WORK FROM HOME OR REMOTE WORK)Job description: 4 to 12 Years of Hands on Experience- Block level Physical Design Implementation from RTL to GDSII or Netlist to GDSII,- Block level Physical Signoff,- Block level Timing Signoff and ECO generation.- Block level Power signoff.- Good skill on Automation (Perl/Tcl/Awk/Python)- Able...
-
Physical Design Engineer
6 days ago
Noida, Uttar Pradesh, India LeadSoc Technologies Pvt Ltd Full timeWe LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments. Please refer to the links below for more details...
-
Physical Design Engineer
6 days ago
Noida, Uttar Pradesh, India LeadSoc Technologies Pvt Ltd Full timeWeLEADSOC Technologiesare into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments.Please refer to the links below for more details...
-
Physical Design Lead Engineer
1 week ago
Noida, Uttar Pradesh, India Qualcomm Full timeCompany Qualcomm India Private LimitedJob Area Engineering Group Engineering Group Hardware EngineeringGeneral Summary As a leading technology innovator Qualcomm pushes the boundaries of what s possible to enable next-generation experiences and drives digital transformation to help create a smarter connected future for all As a Qualcomm Hardware...
-
Sr. Principal Product Engineer
2 weeks ago
Noida, Uttar Pradesh, India Cadence Design Systems, Inc. Full timeAt Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology. Job Title: Sr. Principal Product Engineer Grade: T5 Experience: 10-15 Years Location: Noida Cadence is a pivotal leader in electronic design, building upon more than 30 years of computational software expertise. The company...
-
Senior Engineer, Physical Design
2 weeks ago
Noida, Uttar Pradesh, India Renesas Electronics Full timeJob DescriptionWe are looking for a highly skilled and experienced Physical Design Lead to join our VLSI team. The ideal candidate will have a strong background in physical design and a deep understanding of the VLSI design flow. This role involves leading a team of engineers and working closely with cross-functional teams to ensure the successful...
-
Physical Design Engineer
7 days ago
Noida, Uttar Pradesh, India LeadSoc Technologies Pvt Ltd Full timeWe LEADSOC Technologies are into Design services focusing on VLSI and Embedded systems. We offer Product engineering and design services to various clients in India and globally across Automotive Systems, Consumer Electronics, Telecommunications systems, Semiconductors market, and Healthcare industry segments.Please refer to the links below for more details...
-
Noida, Uttar Pradesh, India Qualcomm Full timeAbout the Role This role involves working with physical design engineers to improve methodologies, identify areas for improvement, and develop plans to deploy these changes. The ideal candidate will have experience with synthesis and place-and-route tools, as well as knowledge of one of Encounter Innovus, Icc2, or Olympus tool or other equivalent PNR...
-
Senior Physical Design CAD Engineer
7 days ago
Noida, Uttar Pradesh, India Qualcomm Full timeJob Overview Qualcomm is a leading technology innovator that pushes the boundaries of what's possible to enable next-generation experiences and drive digital transformation. As a Qualcomm Hardware Engineer, you will plan, design, optimize, verify, and test electronic systems, including circuits, mechanical systems, Digital Analog RF optical systems,...